~brian-sidebotham/wxwidgets-cmake/wxpython-2.9.4

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852
2853
2854
2855
2856
2857
2858
2859
2860
2861
2862
2863
2864
2865
2866
2867
2868
2869
2870
2871
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881
2882
2883
2884
2885
2886
2887
2888
2889
2890
2891
2892
2893
2894
2895
2896
2897
2898
2899
2900
2901
2902
2903
2904
2905
2906
2907
2908
2909
2910
2911
2912
2913
2914
2915
2916
2917
2918
2919
2920
2921
2922
2923
2924
2925
2926
2927
2928
2929
2930
2931
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943
2944
2945
2946
2947
2948
2949
2950
2951
2952
2953
2954
2955
2956
2957
2958
2959
2960
2961
2962
2963
2964
2965
2966
2967
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985
2986
2987
2988
2989
2990
2991
2992
2993
2994
2995
2996
2997
2998
2999
3000
3001
3002
3003
3004
3005
3006
3007
3008
3009
3010
3011
3012
3013
3014
3015
3016
3017
3018
3019
3020
3021
3022
3023
3024
3025
3026
3027
3028
3029
3030
3031
3032
3033
3034
3035
3036
3037
3038
3039
3040
3041
3042
3043
3044
3045
3046
3047
3048
3049
3050
3051
3052
3053
3054
3055
3056
3057
3058
3059
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073
3074
3075
3076
3077
3078
3079
3080
3081
3082
3083
3084
3085
3086
3087
3088
3089
3090
3091
3092
3093
3094
3095
3096
3097
3098
3099
3100
3101
3102
3103
3104
3105
3106
3107
3108
3109
3110
3111
3112
3113
3114
3115
3116
3117
3118
3119
3120
3121
3122
3123
3124
3125
3126
3127
3128
3129
3130
3131
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147
3148
3149
3150
3151
3152
3153
3154
3155
3156
3157
3158
3159
3160
3161
3162
3163
3164
3165
3166
3167
3168
3169
3170
3171
3172
3173
3174
3175
3176
3177
3178
3179
3180
3181
3182
3183
3184
3185
3186
3187
3188
3189
3190
3191
3192
3193
3194
3195
3196
3197
3198
3199
3200
3201
3202
3203
3204
3205
3206
# Romanian translation for editra
# Copyright (c) 2008 Rosetta Contributors and Canonical Ltd 2008
# This file is distributed under the same license as the editra package.
# FIRST AUTHOR <EMAIL@ADDRESS>, 2008.
# Lucian Adrian Grijincu <lucian.grijincu@gmail.com>, 2009.
msgid ""
msgstr ""
"Project-Id-Version: editra\n"
"Report-Msgid-Bugs-To: \n"
"POT-Creation-Date: 2012-06-09 13:29-0500\n"
"PO-Revision-Date: 2009-12-01 23:54+0000\n"
"Last-Translator: Lucian Adrian Grijincu <lucian.grijincu@gmail.com>\n"
"Language-Team: Romanian GNOME Team <gnomero-list@lists.sourceforge.net>\n"
"MIME-Version: 1.0\n"
"Content-Type: text/plain; charset=UTF-8\n"
"Content-Transfer-Encoding: 8bit\n"
"X-Launchpad-Export-Date: 2012-06-09 18:21+0000\n"
"X-Generator: Launchpad (build 15376)\n"
"Language: ro\n"

#: ../../src/ed_search.py:486
#, python-format
msgid "\"%s\" was not found"
msgstr "„%s” nu a fost găsit"

#: ../../src/ed_search.py:700
#, python-format
msgid "%d matches were replaced."
msgstr "%d termeni au fost înlocuiți."

#: ../../src/util.py:386
#, python-format
msgid "%s Source File"
msgstr "Fișier sursă %s"

#: ../../src/ed_main.py:584
#, python-format
msgid ""
"%s could not be found.\n"
"Perhaps it's been moved or deleted."
msgstr ""
"%s nu a putut fi găsit.\n"
"E posibil să fi fost mutat sau șters."

#: ../../src/ed_stc.py:1854
#, python-format
msgid "%s does not exist"
msgstr "%s nu există"

#: ../../src/ed_editv.py:558
#, python-format
msgid ""
"%s has been deleted since its last save point.\n"
"\n"
"Would you like to save it again?"
msgstr ""
"%s a fost șters între timp.\n"
"Doriți să îl salvați din nou?"

#: ../../src/ed_editv.py:578
#, python-format
msgid ""
"%s has been modified by another application.\n"
"\n"
"Would you like to reload it?"
msgstr ""
"%s a fost modificat de o altă aplicație.\n"
"\n"
"Doriți să îl reîncărcați?"

#: ../../src/ed_menu.py:916
msgid "&About..."
msgstr "&Despre..."

#: ../../src/ed_menu.py:600
msgid "&Close Tab"
msgstr "În&chide tabul"

#: ../../src/ed_menu.py:660
msgid "&Copy"
msgstr "&Copiază"

#: ../../src/ed_menu.py:730
msgid "&Edit"
msgstr "&Editare"

#: ../../src/ed_menu.py:646
msgid "&File"
msgstr "&Fișier"

#: ../../src/ed_menu.py:714
msgid "&Find"
msgstr "&Caută"

#: ../../src/ed_menu.py:804
msgid "&Font"
msgstr "&Font"

#: ../../src/ed_menu.py:774
msgid "&Goto Line"
msgstr "&Treci la linia"

#: ../../src/ed_main.py:132 ../../src/ed_menu.py:930
msgid "&Help"
msgstr "&Ajutor"

#: ../../src/ed_menu.py:590
msgid "&New Tab"
msgstr "Filă &nouă"

#: ../../src/ed_menu.py:595
msgid "&Open"
msgstr "&Deschide"

#: ../../src/ed_menu.py:662
msgid "&Paste"
msgstr "Li&pește"

#: ../../src/ed_menu.py:641
msgid "&Print"
msgstr "Ti&părește"

#: ../../src/ed_menu.py:717
msgid "&Quick Find"
msgstr "Că&utare rapidă"

#: ../../src/ed_menu.py:607
msgid "&Save"
msgstr "&Salvează"

#: ../../src/ed_menu.py:878
msgid "&Settings"
msgstr "&Configurări"

#: ../../src/ed_menu.py:791
msgid "&Toolbar"
msgstr "Bara de unel&te"

#: ../../src/ed_menu.py:907
msgid "&Tools"
msgstr "Unel&te"

#: ../../src/ed_menu.py:655
msgid "&Undo"
msgstr "An&ulează"

#: ../../src/ed_menu.py:795
msgid "&View"
msgstr "&Vizualizare"

#: ../../plugins/Launch/launch/cfgdlg.py:273
msgid "**Alias**"
msgstr "**Alias**"

#: ../../plugins/Launch/launch/cfgdlg.py:273
msgid "**New Commandline**"
msgstr ""

#: ../../plugins/Launch/launch/cfgdlg.py:405
msgid "0-50000 (0 unlimited)"
msgstr ""

#: ../../src/eclib/filterdlg.py:76
msgid "<< Remove"
msgstr ""

#: ../../src/eclib/errdlg.py:320 ../../plugins/Launch/launch/launch.py:537
msgid "Abort"
msgstr "Abandonează"

#: ../../src/ed_menu.py:917
msgid "About"
msgstr "Despre"

#: ../../plugins/filebrowser/filebrowser/browser.py:524
#, fuzzy
msgid "Access Denied"
msgstr "Acces interzis: %s"

#: ../../src/ed_cmdbar.py:802
#, python-format
msgid "Access Denied: %s"
msgstr "Acces interzis: %s"

#: ../../plugins/filebrowser/filebrowser/fbcfg.py:61
#, fuzzy
msgid "Actions"
msgstr "Secțiuni"

#: ../../src/ed_editv.py:514
#, python-format
msgid "Add '%s' to dictionary"
msgstr ""

#: ../../src/eclib/filterdlg.py:75
msgid "Add >>"
msgstr ""

#: ../../plugins/filebrowser/filebrowser/fbcfg.py:114
#, fuzzy
msgid "Add File Filters"
msgstr "Filtre fișier:"

#: ../../plugins/Launch/launch/cfgdlg.py:203
msgid "Add a new executable"
msgstr "Adaugă un nou executabil"

#: ../../src/ed_menu.py:676
msgid "Add a new line after the current line"
msgstr "Adaugă o linie nouă după linia curentă"

#: ../../src/ed_menu.py:678
msgid "Add a new line before the current line"
msgstr "Adaugă o linie nouă înaintea liniei curente"

#: ../../src/ed_menu.py:706
msgid "Add and remove bookmarks"
msgstr "Adaugă și șterge semnele de carte"

#: ../../plugins/filebrowser/filebrowser/fbcfg.py:87
msgid "Add filter"
msgstr ""

#: ../../src/prefdlg.py:815
msgid "Adds extra scrolling room after last line"
msgstr ""

#: ../../src/prefdlg.py:193
msgid "Advanced"
msgstr "Avansat"

#: ../../plugins/Launch/launch/cfgdlg.py:583
msgid "Alias"
msgstr "Alias"

#: ../../src/ed_log.py:165 ../../src/ed_log.py:244
msgid "All"
msgstr "Toate"

#: ../../plugins/codebrowser/codebrowser/cbconfig.py:42
msgid "Alphabetically"
msgstr ""

#: ../../src/Editra.py:643
#, python-format
msgid ""
"An updated version of Editra is available\n"
"Would you like to download Editra %s now?"
msgstr ""
"O versiune mai nouă este disponibilă.\n"
"Doriți să descărcați Editra %s acum?"

#: ../../src/prefdlg.py:801
msgid "AntiAliasing"
msgstr "AntiAlias (minimizarea distorsiunilor)"

#: ../../src/prefdlg.py:187
msgid "Appearance"
msgstr "Aspect"

#: ../../src/prefdlg.py:1820
msgid "Apply"
msgstr "Aplică"

#: ../../src/eclib/filemgrdlg.py:167
#, python-format
msgid "Are you sure want to delete %s?"
msgstr ""

#: ../../src/plugdlg.py:1047
#, python-format
msgid ""
"Are you sure you want to uninstall %s?\n"
"This cannot be undone."
msgstr ""
"Sigur doriți să dezinstalați %s?\n"
"Această acțiune nu poate fi anulată."

#: ../../plugins/Launch/launch/launch.py:172
msgid "Arguments"
msgstr ""

#: ../../src/style_editor.py:765
msgid "Attributes"
msgstr "Atribute"

#: ../../plugins/Launch/launch/cfgdlg.py:396
msgid "Audible feedback when errors are detected"
msgstr "Feedback auditiv la detectarea erorilor"

#: ../../src/ed_main.py:1251
msgid "Aui Pane Navigator"
msgstr "Tab-uri AUI"

#: ../../src/plugdlg.py:933 ../../src/plugdlg.py:1119
#, python-format
msgid "Author: %s"
msgstr "Autor: %s"

#: ../../src/ed_menu.py:863 ../../src/prefdlg.py:991
msgid "Auto-Completion"
msgstr "Completare automată"

#: ../../src/ed_menu.py:865 ../../src/prefdlg.py:1001
msgid "Auto-Indent"
msgstr "Auto-indentare"

#: ../../src/perspective.py:86
msgid "Automatic"
msgstr ""

#: ../../src/prefdlg.py:543
msgid "Automatically Backup Files"
msgstr "Creează automat copii de siguranță fișierelor"

#: ../../plugins/Launch/launch/cfgdlg.py:393
msgid "Automatically clear output buffer between runs"
msgstr ""

#: ../../src/prefdlg.py:518
msgid "Automatically reload files when changes are detected on disk"
msgstr "Reîncarcă automat fișierele dacă sunt detectate modificări externe"

#: ../../plugins/Launch/launch/cfgdlg.py:544
msgid "Automatically save all open files before running"
msgstr ""

#: ../../plugins/Launch/launch/cfgdlg.py:541
msgid "Automatically save current file before running"
msgstr ""

#: ../../src/perspective.py:87
msgid "Automatically save/use window state from last session"
msgstr "Salvează/utilizează automat atributele ferestrei din sesiunea trecută"

#: ../../src/prefdlg.py:778
msgid "Automatically trim whitespace on save"
msgstr "Șterge automat spațiile goale la salvare"

#: ../../src/style_editor.py:754 ../../plugins/Launch/launch/cfgdlg.py:424
msgid "Background"
msgstr "Fundal"

#: ../../src/prefdlg.py:784
msgid "Backspace Unindents"
msgstr "Tasta backspace de-indentează"

#: ../../src/prefdlg.py:549
msgid "Backup Path:"
msgstr ""

#: ../../src/prefdlg.py:546
msgid "Backup buffer to file periodically"
msgstr "Copiază periodic memoria tampon în fișier"

#: ../../src/prefdlg.py:566
msgid "Backup file suffix:"
msgstr ""

#: ../../src/prefdlg.py:1794
msgid "Binding"
msgstr "Asociere"

#: ../../src/prefdlg.py:2214
msgid "Black/White"
msgstr "Negru/Alb"

#: ../../src/ed_bookmark.py:273
msgid "Bookmark"
msgstr ""

#: ../../plugins/filebrowser/filebrowser/browser.py:644
msgid "Bookmark Selected Path(s)"
msgstr "Pune un semn de carte căii/căilor selectate"

#: ../../src/ed_bookmark.py:291
#, python-format
msgid "Bookmark%d"
msgstr ""

#: ../../src/ed_bookmark.py:79 ../../src/ed_menu.py:705
msgid "Bookmarks"
msgstr "Semne de carte"

#: ../../src/ed_menu.py:868 ../../src/prefdlg.py:971
msgid "Bracket Highlighting"
msgstr "Subliniază paranteze pereche"

#: ../../plugins/Launch/launch/cfgdlg.py:600
msgid "Browse..."
msgstr ""

#: ../../src/ed_menu.py:925
msgid "Bug Tracker..."
msgstr ""

#: ../../src/ed_cmdbar.py:599
#, python-format
msgid "Can't change directory to: %s"
msgstr "Nu se poate schimba dosarul la: %s"

#: ../../src/ed_search.py:1339 ../../src/style_editor.py:86
#: ../../src/updater.py:582
msgid "Cancel"
msgstr "Anulează"

#: ../../src/updater.py:662
msgid "Canceled"
msgstr "Anulat"

#: ../../src/prefdlg.py:817
msgid "Caret Width:"
msgstr ""

#: ../../src/ed_statbar.py:193
msgid "Change Encoding"
msgstr ""

#: ../../src/ed_menu.py:805
msgid "Change Font Settings"
msgstr "Schimbă configurările fontului"

#: ../../src/ed_statbar.py:62 ../../src/ed_statbar.py:65
#: ../../src/ed_statbar.py:68
#, python-format
msgid "Change line endings to %s"
msgstr "Schimbă terminatorii de linie la %s"

#: ../../src/ed_statbar.py:192
msgid "Change the encoding of the current document."
msgstr ""

#: ../../src/perspective.py:146
#, python-format
msgid "Change view to \"%s\""
msgstr "Schimbă aspectul la „%s”"

#: ../../src/prefdlg.py:283
msgid "Changes made in this dialog are saved in your current profile. Some Items such as Language require the program to be restarted before taking effect."
msgstr "Modificările făcute în această fereastră sunt salvate în configurația dvs. Unele elemente necesită repornirea programului pentru a fi aplicate."

#: ../../src/plugdlg.py:234
msgid "Changes will take affect once the program has been restarted"
msgstr "Schimbările vor avea efect doar după repornirea programului"

#: ../../src/prefdlg.py:1576
msgid "Check"
msgstr "Verifică"

#: ../../src/prefdlg.py:414
msgid "Check for updates on startup"
msgstr "La pornire, verifică existența unor versiuni mai noi"

#: ../../src/prefdlg.py:513
msgid "Check if on disk file has been modified by others"
msgstr "Verifică dacă fișierul a fost modificat de altcineva"

#: ../../src/prefdlg.py:584
msgid "Check spelling while typing"
msgstr "Verifică ortografia în timpul scrierii"

#: ../../src/prefdlg.py:1602
msgid "Checking..."
msgstr ""

#: ../../src/eclib/finddlg.py:810
msgid "Choose Folder"
msgstr "Alegeți dosarul"

#: ../../src/eclib/finddlg.py:1142
msgid "Choose Search Folder"
msgstr "Alegeți dosarul în care se caută"

#: ../../src/ed_main.py:679
msgid "Choose a Save Location"
msgstr "Alegeți locația de salvare"

#: ../../src/ed_pages.py:170
msgid "Choose an Encoding"
msgstr "Alegeți tipul de codare a textului"

#: ../../plugins/Launch/launch/cfgdlg.py:609
msgid "Choose and executable"
msgstr "Alegeți programul"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
msgid "Class Definitions"
msgstr "Definiri clase"

#: ../../src/ed_log.py:139 ../../src/ed_search.py:1343
#: ../../plugins/Launch/launch/launch.py:181
#: ../../plugins/PyShell/PyShell/__init__.py:112
msgid "Clear"
msgstr "Curăță"

#: ../../plugins/Launch/launch/cfgdlg.py:580
msgid "Click on an item to edit"
msgstr "Clic pe un element pentru a-l edita"

#: ../../src/ed_editv.py:281
#, python-format
msgid "Close \"%s\""
msgstr "Închide „%s”"

#: ../../src/ed_editv.py:283
msgid "Close All"
msgstr "Închide toate"

#: ../../src/ed_shelf.py:173
#, python-format
msgid "Close All '%s'"
msgstr ""

#: ../../src/ed_menu.py:604
msgid "Close All Tabs"
msgstr "Închide toate taburile"

#: ../../src/ed_menu.py:601
msgid "Close Current Tab"
msgstr "Închide tabul curent"

#: ../../src/ed_editv.py:282
msgid "Close Other Tabs"
msgstr ""

#: ../../src/ed_menu.py:603
msgid "Close Window"
msgstr "Închide fereastra"

#: ../../src/ed_menu.py:605
msgid "Close all open tabs"
msgstr "Închide toate taburile deschise"

#: ../../src/ed_menu.py:603
msgid "Close the current window"
msgstr "Închide fereastra curentă"

#: ../../src/prefdlg.py:714
msgid "Code"
msgstr "Cod"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:92
msgid "Code Browser"
msgstr "Explorați codul"

#: ../../src/ed_menu.py:765 ../../src/ed_menu.py:870 ../../src/prefdlg.py:973
msgid "Code Folding"
msgstr "Pliere cod"

#: ../../src/ed_menu.py:766
msgid "Code folding toggle actions"
msgstr "Acțiune comutare pliere cod"

#: ../../plugins/codebrowser/codebrowser/__init__.py:48
#: ../../plugins/codebrowser/codebrowser/__init__.py:95
msgid "CodeBrowser"
msgstr "Navigator cod"

#: ../../src/style_editor.py:737
msgid "Color"
msgstr "Culoare"

#: ../../src/ed_menu.py:873
msgid "Color Highlight Code Syntax"
msgstr "Evidențiere sintaxă"

#: ../../src/prefdlg.py:1141
msgid "Color Scheme"
msgstr "Schema de culori"

#: ../../plugins/PyShell/PyShell/__init__.py:108
msgid "Color Scheme:"
msgstr ""

#: ../../src/prefdlg.py:2216
msgid "Colour/Default"
msgstr "Culoare/Implicit"

#: ../../src/prefdlg.py:2215
msgid "Colour/White"
msgstr "Culoare/Alb"

#: ../../src/ed_menu.py:671
msgid "Column Edit"
msgstr ""

#: ../../src/ed_cmdbar.py:438
msgid "Command"
msgstr "Comandă"

#: ../../src/ed_menu.py:699
msgid "Commands that affect an entire line"
msgstr "Comenzi ce afectează o linie întreagă"

#: ../../src/prefdlg.py:1386
msgid "Configuration"
msgstr "Configurație"

#: ../../src/plugdlg.py:195
msgid "Configuration Changes Made"
msgstr "Au fost efectuate modificările configurației"

#: ../../src/plugdlg.py:120 ../../src/plugdlg.py:942
msgid "Configure"
msgstr "Configurează"

#: ../../src/ed_menu.py:638
msgid "Configure Printer"
msgstr "Configurează imprimanta"

#: ../../src/ed_menu.py:819
msgid "Convert selected text to all lowercase letters"
msgstr "Convertește textul selectat în litere mici"

#: ../../src/ed_menu.py:817
msgid "Convert selected text to all uppercase letters"
msgstr "Convertește textul selectat în litere mari"

#: ../../src/ed_menu.py:831
msgid "Convert spaces to tabs in selected/all text"
msgstr "Convertește spațiile în tab-uri"

#: ../../src/ed_menu.py:833
msgid "Convert tabs to spaces in selected/all text"
msgstr "Convertește tab-urile în spații"

#: ../../src/ed_editv.py:466 ../../src/ed_toolbar.py:88
msgid "Copy"
msgstr "Copiază"

#: ../../src/ed_menu.py:685
msgid "Copy Current Line"
msgstr "Copiază linia curentă"

#: ../../src/ed_editv.py:285
msgid "Copy Filename"
msgstr ""

#: ../../src/ed_editv.py:286
msgid "Copy Full Path"
msgstr "Copiază calea"

#: ../../src/ed_menu.py:684
msgid "Copy Line"
msgstr "Copiază linia"

#: ../../src/ed_menu.py:661 ../../src/ed_toolbar.py:89
msgid "Copy Selected Text to Clipboard"
msgstr "Copiază selecția în clipboard"

#: ../../src/ed_main.py:1572
msgid "Copyright"
msgstr "Drepturi de autor"

#: ../../src/eclib/finddlg.py:865
msgid "Count"
msgstr "Contor"

#: ../../plugins/filebrowser/filebrowser/browser.py:656
#: ../../plugins/filebrowser/filebrowser/browser.py:678
#, python-format
msgid "Create Archive of \"%s\""
msgstr "Crează o arhivă a „%s”"

#: ../../src/style_editor.py:207
msgid "Create a new style theme"
msgstr ""

#: ../../src/eclib/infodlg.py:193
msgid "Created"
msgstr "Creat"

#: ../../src/ed_menu.py:658
msgid "Cu&t"
msgstr "&Taie"

#: ../../src/eclib/finddlg.py:732
msgid "Current Directory"
msgstr ""

#: ../../src/eclib/finddlg.py:731
msgid "Current Document"
msgstr "Documentul curent"

#: ../../src/ed_cmdbar.py:128
msgid "Customize"
msgstr "Personalizează"

#: ../../src/ed_main.py:1448
msgid "Customize Menu"
msgstr ""

#: ../../src/ed_menu.py:541
msgid "Customize the items shown in this menu."
msgstr ""

#: ../../src/ed_menu.py:540
msgid "Customize..."
msgstr ""

#: ../../src/ed_editv.py:465 ../../src/ed_toolbar.py:86
msgid "Cut"
msgstr "Taie"

#: ../../src/ed_menu.py:681
msgid "Cut Current Line"
msgstr "Taie linia curentă"

#: ../../src/ed_menu.py:680
msgid "Cut Line"
msgstr "Taie linia"

#: ../../src/ed_menu.py:659 ../../src/ed_toolbar.py:87
msgid "Cut Selected Text from File"
msgstr "Taie textul selectat din fișier"

#: ../../src/ed_menu.py:666
msgid "Cycle Clipboard"
msgstr "Ciclează clipboardul"

#: ../../src/ed_menu.py:667
msgid "Cycle through recent clipboard text"
msgstr "Ciclează prin textul recent din clipboard"

#: ../../src/ed_search.py:66 ../../src/ed_search.py:70
msgid "DECODING ERROR"
msgstr "Eroare de decodare"

#: ../../src/ed_main.py:795 ../../src/ed_main.py:797 ../../src/ed_main.py:814
#: ../../src/ed_main.py:826 ../../src/ed_session.py:277
#: ../../plugins/Launch/launch/cfgdlg.py:191
msgid "Default"
msgstr "Implicit"

#: ../../src/prefdlg.py:796
msgid "Default EOL Mode"
msgstr "Mod EOL (sfârșit de linie) implicit"

#: ../../src/prefdlg.py:964
msgid "Default Lexer"
msgstr "Analiză lexicală implicită"

#: ../../src/prefdlg.py:1244
msgid "Default Perspective"
msgstr "Perspectivă implicită"

#: ../../src/prefdlg.py:962
msgid "Default highlighing for new documents"
msgstr "Evidențiere implicită pentru documente noi"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
msgid "Defines"
msgstr "Constante"

#: ../../src/ed_bookmark.py:142 ../../src/ed_session.py:186
#: ../../src/prefdlg.py:1763
msgid "Delete"
msgstr "Șterge"

#: ../../src/ed_bookmark.py:145
msgid "Delete All"
msgstr ""

#: ../../src/ed_bookmark.py:144
msgid "Delete Bookmark"
msgstr ""

#: ../../src/eclib/filemgrdlg.py:175
msgid "Delete Error"
msgstr ""

#: ../../src/eclib/filemgrdlg.py:168
msgid "Delete File?"
msgstr ""

#: ../../src/ed_menu.py:682
msgid "Delete Line"
msgstr "Șterge linia"

#: ../../src/perspective.py:294
msgid "Delete Perspective"
msgstr "Șterge perspectiva"

#: ../../src/perspective.py:84
msgid "Delete Saved View"
msgstr "Șterge vizualizarea salvată"

#: ../../src/ed_bookmark.py:148
msgid "Delete all bookmarks"
msgstr ""

#: ../../src/ed_menu.py:683
msgid "Delete the selected line(s)"
msgstr "Șterge liniile selectate"

#: ../../src/prefdlg.py:591
msgid "Dictionary:"
msgstr ""

#: ../../src/eclib/finddlg.py:822
msgid "Direction"
msgstr "Direcție"

#: ../../src/prefdlg.py:401
msgid "Disable Error Reporter"
msgstr "Dezactivează raportul erorilor"

#: ../../src/prefdlg.py:1286
msgid "Display Font"
msgstr "Font afișare"

#: ../../src/plugdlg.py:182
msgid "Do you wish to exit?"
msgstr "Doriți să închideți?"

#: ../../src/ed_pages.py:985
#, python-format
msgid ""
"Do you wish to open all %d files in this directory?\n"
"\n"
"Warning: opening many files at once may cause the editor to temporarily  freeze."
msgstr ""

#: ../../src/prefdlg.py:189
msgid "Document"
msgstr "Document"

#: ../../src/eclib/finddlg.py:826
msgid "Down"
msgstr "Jos"

#: ../../src/plugdlg.py:121 ../../src/plugdlg.py:451 ../../src/plugdlg.py:1097
#: ../../src/prefdlg.py:1571
msgid "Download"
msgstr "Descarcă"

#: ../../src/plugdlg.py:474
msgid "Downloaded"
msgstr "Descărcat"

#: ../../src/plugdlg.py:589 ../../src/updater.py:618
msgid "Downloading"
msgstr "Se descarcă"

#: ../../src/updater.py:581
#, python-format
msgid "Downloading To: %s"
msgstr "Se descarcă în: %s"

#: ../../src/Editra.py:649 ../../src/prefdlg.py:1613
msgid "Downloading Update"
msgstr "Se descarcă o versiune nouă"

#: ../../src/updater.py:579
#, python-format
msgid "Downloading: %s"
msgstr "Se descarcă: %s"

#: ../../src/plugdlg.py:181
msgid "Downloads are incomplete"
msgstr "Descărcările nu sunt complete"

#: ../../plugins/filebrowser/filebrowser/browser.py:655
msgid "Duplicate"
msgstr "Duplicat"

#: ../../src/ed_menu.py:686
msgid "Duplicate Line"
msgstr "Duplică linia"

#: ../../src/ed_menu.py:687
msgid "Duplicate the current line"
msgstr "Duplică linia curentă"

#: ../../src/ed_menu.py:643
msgid "E&xit"
msgstr "&Ieșire"

#: ../../src/ed_menu.py:850
msgid "EOL Mode"
msgstr "Mod EOL (sfârșit de linie)"

#: ../../src/ed_main.py:629
#, python-format
msgid "ERROR: %s"
msgstr "Eroare: %s"

#: ../../src/ed_main.py:695
#, python-format
msgid "ERROR: Failed to save %s"
msgstr "Eroare: Eșec la salvarea %s"

#: ../../src/prefdlg.py:975
msgid "Edge Guide"
msgstr "Ghid margine"

#: ../../plugins/filebrowser/filebrowser/browser.py:640
msgid "Edit"
msgstr "Editare"

#: ../../src/ed_menu.py:727
msgid "Edit Preferences / Settings"
msgstr "Editați Preferințe / Configurări"

#: ../../src/ed_menu.py:896
msgid "Edit the way syntax is highlighted"
msgstr "Configurați evidențierea sintaxei"

#: ../../src/ed_menu.py:758
msgid "Editor"
msgstr "Editor"

#: ../../src/ed_menu.py:889
msgid "Editor Command"
msgstr "Comandă editor"

#: ../../src/prefdlg.py:385
msgid "Editor Mode"
msgstr "Mod editare"

#: ../../plugins/Launch/launch/cfgdlg.py:539
msgid "Editor Options"
msgstr ""

#: ../../src/ed_log.py:80
msgid "Editra Log"
msgstr "Jurnal Editra"

#: ../../src/ed_mdlg.py:85
#, python-format
msgid ""
"Editra could not open %(filename)s\n"
"\n"
"Error:\n"
"%(errormsg)s"
msgstr ""
"Editra nu poate deschide %(filename)s\n"
"Eroare:\n"
"%(errormsg)s"

#: ../../src/ed_main.py:1562
msgid "Editra is a programmers text editor."
msgstr "Editra este un editor de text destinat programatorilor."

#: ../../src/ed_menu.py:924
msgid "Editra translations project"
msgstr "Proiectul de traduceri Editra"

#: ../../src/ed_main.py:433
msgid "Editra: Open"
msgstr ""

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:59
msgid "Elements"
msgstr ""

#: ../../src/ed_pages.py:374
msgid "Empty File"
msgstr "Fișier gol"

#: ../../src/plugdlg.py:934
msgid "Enable"
msgstr "Activează"

#: ../../src/prefdlg.py:1003
msgid "Enable Vi Emulation"
msgstr "Activează simulare Vi"

#: ../../src/ed_menu.py:672
msgid "Enable column edit mode."
msgstr "Activează editare în mod coloană."

#: ../../src/prefdlg.py:601
msgid "Enchant Path:"
msgstr ""

#: ../../src/prefdlg.py:501
msgid "Encoding to try when auto detection fails"
msgstr "Se codifică pentru a detecta eșecului la auto-detectare"

#: ../../src/ed_menu.py:851
msgid "End of line character formatting"
msgstr "Formatarea caracterului de sfârșit de linie"

#: ../../src/eclib/colorsetter.py:84
msgid "Enter a hex color value"
msgstr "Introduceți valoarea culorii în hexa"

#: ../../plugins/filebrowser/filebrowser/browser.py:826
#, fuzzy
msgid "Enter file name:"
msgstr "Introduceți numele stilului"

#: ../../plugins/filebrowser/filebrowser/browser.py:817
#, fuzzy
msgid "Enter folder name:"
msgstr "Introduceți numele stilului"

#: ../../plugins/filebrowser/filebrowser/fbcfg.py:114
#, fuzzy
msgid "Enter new filter"
msgstr "Linie nouă după"

#: ../../src/style_editor.py:364
msgid "Enter style sheet name"
msgstr "Introduceți numele stilului"

#: ../../src/prefdlg.py:1962
msgid "Enter the name of the new key profile"
msgstr "Introduceți numele noului profil-cheie"

#: ../../src/ed_editv.py:221 ../../src/plugdlg.py:825
msgid "Error"
msgstr "Eroare"

#: ../../src/ed_mdlg.py:87
msgid "Error Opening File"
msgstr "Eroare la deschiderea fișierului"

#: ../../plugins/Launch/launch/cfgdlg.py:434
msgid "Error Text"
msgstr "Text eroare"

#: ../../src/eclib/errdlg.py:316
msgid "Error Traceback:"
msgstr "Stiva erorii:"

#: ../../src/ed_search.py:606
#, python-format
msgid ""
"Error in regular expression expansion.The replace action cannot be completed.\n"
"\n"
"Error Message: %s"
msgstr ""

#: ../../src/dev_tool.py:260
msgid ""
"Error: Something unexpected happend\n"
"Help improve Editra by clicking on Report Error\n"
"to send the Error Traceback shown below."
msgstr ""
"Eroare: Ceva neprevăzut s-a întâmplat.\n"
"Ajutați la îmbunătățirea Editra apăsând pe „Raportează eroare”\n"
"pentru a comunica eroarea de mai jos."

#: ../../src/ed_main.py:1499
#, python-format
msgid "Error: Unable to open %s"
msgstr "Eroare: Nu pot deschide %s"

#: ../../src/plugdlg.py:130
msgid "Errors"
msgstr "Erori"

#: ../../plugins/Launch/launch/cfgdlg.py:584
msgid "Executable Commands"
msgstr "Comenzi executabile"

#: ../../plugins/Launch/launch/cfgdlg.py:176
msgid "Executables"
msgstr "Executabile"

#: ../../plugins/Launch/launch/launch.py:703
msgid "Exit Code"
msgstr "Cod de ieșire"

#: ../../src/ed_menu.py:643
msgid "Exit the Program"
msgstr "Închide programul"

#: ../../src/eclib/errdlg.py:321
msgid "Exit the application"
msgstr "Ieșire din aplicație"

#: ../../src/prefdlg.py:994
msgid "Extended Auto-Comp"
msgstr "Auto-completare extinsă"

#: ../../src/prefdlg.py:2103
msgid "Extensions (space separated, no dots)"
msgstr "Extensii (separate prin spații, fără puncte)"

#: ../../src/ed_menu.py:854
msgid "F&ormat"
msgstr "F&ormatare"

#: ../../src/updater.py:700
msgid "Failed"
msgstr "Eșec"

#: ../../plugins/filebrowser/filebrowser/browser.py:831
#, fuzzy
msgid "Failed to create file"
msgstr "Eșec la crearea previzualizării de tipărire"

#: ../../plugins/filebrowser/filebrowser/browser.py:822
#, fuzzy
msgid "Failed to create folder"
msgstr "Eșec la crearea previzualizării de tipărire"

#: ../../src/ed_print.py:120
msgid "Failed to create print preview"
msgstr "Eșec la crearea previzualizării de tipărire"

#: ../../src/style_editor.py:381
#, python-format
msgid ""
"Failed to delete style sheet:\n"
"Error:\n"
"%s"
msgstr ""

#: ../../src/ed_main.py:849
msgid "Failed to get control reference for printing"
msgstr ""

#: ../../src/plugdlg.py:827
#, python-format
msgid "Failed to install %d plugins"
msgstr "Eșec la instalarea modulului de extensie %d"

#: ../../src/prefdlg.py:677
msgid "Failed to load Enchant"
msgstr "Eșec la încărcarea Enchant"

#: ../../src/ed_pages.py:370
#, python-format
msgid ""
"Failed to load the session: %(sessionname)s\n"
"\n"
"Error: %(error)s"
msgstr ""

#: ../../src/ed_editv.py:219
#, python-format
msgid ""
"Failed to reload %(filename)s:\n"
"Error: %(errmsg)s"
msgstr ""
"Eroare la reîncărcarea %(filename)s:\n"
"Eroare: %(errmsg)s"

#: ../../src/ed_editv.py:209
#, fuzzy, python-format
msgid ""
"Failed to reload file\n"
"\n"
"Error:\n"
"%s"
msgstr ""
"Eroare la reîncărcarea %(filename)s:\n"
"Eroare: %(errmsg)s"

#: ../../src/ed_main.py:1231
#, python-format
msgid "Failed to reload the file with: %(encoding)s"
msgstr "Eșec la reîncărcarea fișierului cu:  %(encoding)s"

#: ../../src/ed_stc.py:1836
#, python-format
msgid "Failed to reload: %s"
msgstr ""

#: ../../src/ed_mdlg.py:104
#, python-format
msgid ""
"Failed to save file: %(filename)s\n"
"\n"
"Error:\n"
"%(errormsg)s"
msgstr ""
"Eșec la salvarea fișierului %(filename)s\n"
"Eroare:\n"
"%(errormsg)s"

#: ../../src/ed_menu.py:926
msgid "Feedback"
msgstr "Feedback"

#: ../../src/prefdlg.py:540
msgid "File Backups"
msgstr ""

#: ../../plugins/filebrowser/filebrowser/browser.py:211
msgid "File Browser"
msgstr "Navigator fișiere"

#: ../../src/eclib/finddlg.py:735
msgid "File Filters:"
msgstr "Filtre fișier:"

#: ../../src/prefdlg.py:482
msgid "File History Length"
msgstr "Lungime istoric fișiere"

#: ../../src/ed_bookmark.py:274
msgid "File Location"
msgstr ""

#: ../../src/ed_main.py:586
msgid "File Not Found"
msgstr "Fișierul nu a fost găsit"

#: ../../src/prefdlg.py:529
msgid "File Settings"
msgstr "Configurări fișier"

#: ../../src/eclib/infodlg.py:115
msgid "File Stat Failed"
msgstr "Status fișier eșuat"

#: ../../plugins/Launch/launch/cfgdlg.py:171
msgid "File Type"
msgstr "Tip fișier"

#: ../../src/ed_stc.py:624
#, python-format
msgid "File backup performed: %s"
msgstr ""

#: ../../src/ed_stc.py:1898
msgid "File is Read Only and cannot be saved"
msgstr "Fișierul e deschid doar pentru citire și nu poate fi salvat"

#: ../../src/ed_pages.py:212
msgid ""
"File is already open in an existing page.\n"
"Do you wish to open it again?"
msgstr ""
"Fișier deja deschis.\n"
"Vreți să îl redeschideți?"

#: ../../src/ed_editv.py:210
msgid "File read error"
msgstr ""

#: ../../plugins/filebrowser/filebrowser/__init__.py:86
#, fuzzy
msgid "FileBrowser"
msgstr "Navigator fișiere"

#: ../../plugins/filebrowser/filebrowser/fbcfg.py:45
#, fuzzy
msgid "FileBrowser Config"
msgstr "Navigator fișiere"

#: ../../src/prefdlg.py:306 ../../src/eclib/filemgrdlg.py:218
msgid "Files"
msgstr "Fișiere"

#: ../../src/ed_search.py:1384
#, python-format
msgid "Files Searched: %d"
msgstr "Fișiere căutate: %d"

#: ../../src/prefdlg.py:1135
msgid "Filetype Associations"
msgstr "Asocieri tipuri de fișiere"

#: ../../plugins/filebrowser/filebrowser/fbcfg.py:71
#, fuzzy
msgid "Filters"
msgstr "Fișiere"

#: ../../src/ed_cmdbar.py:293 ../../src/ed_search.py:157
#: ../../src/ed_toolbar.py:93 ../../src/eclib/finddlg.py:651
#: ../../src/eclib/finddlg.py:787 ../../src/eclib/finddlg.py:863
msgid "Find"
msgstr "Caută"

#: ../../src/ed_cmdbar.py:309 ../../src/eclib/finddlg.py:866
msgid "Find All"
msgstr "Caută tot"

#: ../../src/ed_search.py:371
msgid "Find Count"
msgstr "Număr rezultate căutare"

#: ../../src/ed_menu.py:721
msgid "Find Next"
msgstr "Caută următorul"

#: ../../src/eclib/finddlg.py:832
msgid "Find Options"
msgstr "Opțiuni de căutare"

#: ../../src/ed_menu.py:719
msgid "Find Previous"
msgstr "Caută precedentul"

#: ../../src/ed_menu.py:723
msgid "Find Selected"
msgstr "Găsește selectat"

#: ../../src/ed_menu.py:714 ../../src/ed_toolbar.py:93
msgid "Find Text"
msgstr "Caută textul"

#: ../../src/ed_menu.py:716 ../../src/ed_toolbar.py:95
msgid "Find and Replace Text"
msgstr "Găsește și înlocuiește textul"

#: ../../src/eclib/finddlg.py:785
msgid "Find what"
msgstr "Caută ...ce?"

#: ../../src/ed_menu.py:715
msgid "Find/R&eplace"
msgstr "Caută și înlocui&ește"

#: ../../src/ed_search.py:157 ../../src/ed_toolbar.py:94
msgid "Find/Replace"
msgstr "Caută/înlocuiește"

#: ../../src/updater.py:697
msgid "Finished"
msgstr "Încheiat"

#: ../../src/plugdlg.py:477
msgid "Finshed downloading plugins"
msgstr "S-au descărcat modulele de extensie"

#: ../../src/util.py:374 ../../src/eclib/infodlg.py:292
msgid "Folder"
msgstr "Dosar"

#: ../../src/style_editor.py:786
msgid "Font"
msgstr "Font"

#: ../../src/style_editor.py:781
msgid "Font Settings"
msgstr "Configurări fonturi"

#: ../../src/style_editor.py:742 ../../plugins/Launch/launch/cfgdlg.py:421
msgid "Foreground"
msgstr "Prim plan"

#: ../../src/prefdlg.py:841
msgid "Format"
msgstr "Format"

#: ../../src/ed_stc.py:1215
msgid "Format EOL?"
msgstr "Format EOL (sfârșit linie)?"

#: ../../src/ed_menu.py:842 ../../src/ed_menu.py:845 ../../src/ed_menu.py:848
#, python-format
msgid "Format all EOL characters to %s Mode"
msgstr "Formatați caracterele de sfârșit de linie la modul %s"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
msgid "Function Definitions"
msgstr "Definiri de funcții"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:58
msgid "Functions"
msgstr "Funcții"

#: ../../src/prefdlg.py:185 ../../src/prefdlg.py:713 ../../src/prefdlg.py:1015
#: ../../plugins/Launch/launch/cfgdlg.py:131
msgid "General"
msgstr "General"

#: ../../src/generator.py:284 ../../src/generator.py:667
#: ../../src/generator.py:870
#, python-format
msgid "Generate %s"
msgstr "Generează %s"

#: ../../src/generator.py:114
msgid "Generate Code and Documents"
msgstr "Generează cod și documente"

#: ../../src/generator.py:285 ../../src/generator.py:871
#, python-format
msgid "Generate a %s version of the current document"
msgstr "Generează o versiune %s a documentului curent"

#: ../../src/generator.py:668
#, python-format
msgid "Generate an %s version of the current document"
msgstr "Generează o versiune %s a documentului curent"

#: ../../src/generator.py:113
msgid "Generator"
msgstr "Generator"

#: ../../plugins/filebrowser/filebrowser/browser.py:649
msgid "Get Info"
msgstr "Obține informații"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
msgid "Global Variables"
msgstr "Variabile globale"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:398
#, python-format
msgid "Goto \"%s\""
msgstr "Du-te la „%s”"

#: ../../src/ed_cmdbar.py:471
msgid "Goto Line"
msgstr "Du-te la linia"

#: ../../src/ed_menu.py:775
msgid "Goto Line Number"
msgstr "Du-te la linia număr"

#: ../../src/ed_menu.py:776
msgid "Goto Matching Brace"
msgstr "Du-te la paranteza corespondentă"

#: ../../src/ed_menu.py:890
msgid "Goto command buffer"
msgstr "Du-te la memoria-tampon comenzi"

#: ../../src/ed_menu.py:780
msgid "Goto next position in history."
msgstr "Mergi la următoarea poziție în istoric."

#: ../../src/ed_menu.py:720
msgid "Goto previous match"
msgstr "Du-te la potrivirea anterioară"

#: ../../src/ed_menu.py:782
msgid "Goto previous position in history."
msgstr "Mergi la anterioara poziție în istoric."

#: ../../src/ed_menu.py:722
msgid "Goto the next match"
msgstr "Du-te la potrivirea următoare"

#: ../../src/prefdlg.py:979
msgid "Guide Column"
msgstr "Coloană ghid"

#: ../../src/ed_menu.py:869
msgid "Highlight Brackets/Braces"
msgstr "Evidențiază paranteze/acolade"

#: ../../src/ed_menu.py:745 ../../src/prefdlg.py:984
msgid "Highlight Caret Line"
msgstr "Evidențiază linia curentă"

#: ../../src/ed_menu.py:746
msgid "Highlight the background of the current line"
msgstr "Evidențiază fundalul liniei curente"

#: ../../src/ed_main.py:1564
msgid "Homepage"
msgstr "Pagină personală"

#: ../../src/prefdlg.py:1222
msgid "Icon Theme"
msgstr "Temă iconițe"

#: ../../src/prefdlg.py:1267
msgid "Icons"
msgstr "Iconițe"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
msgid "Identities"
msgstr "Identități"

#: ../../src/ed_editv.py:511
msgid "Ignore"
msgstr ""

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:59
msgid "Imports"
msgstr ""

#: ../../src/ed_menu.py:811
msgid "Indent Lines"
msgstr "Identează linii"

#: ../../src/prefdlg.py:770
msgid "Indent Width"
msgstr "Mărime identare"

#: ../../src/ed_menu.py:812
msgid "Indent the selected lines"
msgstr "Identează liniile selectate"

#: ../../src/ed_menu.py:748 ../../src/prefdlg.py:987
msgid "Indentation Guides"
msgstr "Ghiduri indentare"

#: ../../src/eclib/infodlg.py:58
msgid "Info"
msgstr "Informații"

#: ../../plugins/Launch/launch/cfgdlg.py:441
msgid "Info Text"
msgstr "Text informativ"

#: ../../src/prefdlg.py:1024
msgid "Input Helpers"
msgstr "Ajutor introducere"

#: ../../src/ed_menu.py:822
msgid "Insert spaces instead of tab characters with tab key"
msgstr "Inserați spații în loc de tab-uri cu tasta tab"

#: ../../src/plugdlg.py:122 ../../src/plugdlg.py:750
msgid "Install"
msgstr "Instalează"

#: ../../src/plugdlg.py:757
msgid ""
"Install the plugins for all users\n"
" **requires administrative privileges**"
msgstr ""
"Instalează modulele de extensie pentru toți utilizatorii\n"
" **necesită drepturi de administrator**"

#: ../../src/plugdlg.py:754
msgid "Install the plugins only for the current user"
msgstr "Instalează modulele de extensie doar pentru utilizatorul curent"

#: ../../src/plugdlg.py:829
msgid "Installation Error"
msgstr "Eroare de instalare"

#: ../../src/prefdlg.py:1552
msgid "Installed Version"
msgstr "Versiune instalată"

#: ../../src/ed_cmdbar.py:817
msgid "Invalid Path"
msgstr ""

#: ../../src/ed_search.py:412
#, python-format
msgid "Invalid expression \"%s\""
msgstr "Expresie „%s” nevalidă"

#: ../../src/ed_pages.py:969
#, python-format
msgid "Invalid file: %s"
msgstr "Fișier nevalid: %s"

#: ../../src/prefdlg.py:2217
msgid "Inverse"
msgstr "Invers"

#: ../../src/ed_menu.py:689
msgid "Join Lines"
msgstr "Concatenează liniile"

#: ../../src/ed_menu.py:690
msgid "Join the Selected Lines"
msgstr "Concatenează liniile selectate"

#: ../../plugins/filebrowser/filebrowser/browser.py:94
msgid "Jump to Saved Path"
msgstr "Sari la calea salvată"

#: ../../src/prefdlg.py:1790
msgid "Key"
msgstr "Tastă"

#: ../../src/prefdlg.py:1759
msgid "Key Profile"
msgstr "Profil tastatură"

#: ../../src/prefdlg.py:1670
msgid "Keybindings"
msgstr "Asocieri de taste"

#: ../../src/eclib/infodlg.py:182
msgid "Kind"
msgstr "Gen"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
msgid "Labels"
msgstr "Etichete"

#: ../../src/prefdlg.py:419
msgid "Language"
msgstr "Limba"

#: ../../src/prefdlg.py:1558
msgid "Latest Version"
msgstr "Ultima versiune"

#: ../../plugins/Launch/launch/__init__.py:142
msgid "Launch"
msgstr "Lansează"

#: ../../plugins/Launch/launch/cfgdlg.py:99
msgid "Launch Configuration"
msgstr "Configurație pornire"

#: ../../src/prefdlg.py:1272
msgid "Layout"
msgstr "Aspect"

#: ../../src/prefdlg.py:2101
msgid "Lexer"
msgstr "Analizator sintaxă"

#: ../../src/ed_menu.py:544
msgid "Lexers"
msgstr "Analizoare sintaxă"

#: ../../src/prefdlg.py:678
msgid "Library Error"
msgstr "Eroare de bibliotecă"

#: ../../src/ed_main.py:1566
msgid "License: wxWindows (see COPYING.txt for full license)"
msgstr "Licență: wxWindows (consultați COPYING.txt pentru detalii)"

#: ../../plugins/Launch/launch/cfgdlg.py:402
msgid "Line Buffering:"
msgstr ""

#: ../../src/ed_menu.py:698
msgid "Line Edit"
msgstr "Editare linie"

#: ../../src/ed_bookmark.py:275
#: ../../plugins/codebrowser/codebrowser/cbconfig.py:44
msgid "Line Number"
msgstr ""

#: ../../src/ed_stc.py:764
#, python-format
msgid "Line: %(lnum)d  Column: %(cnum)d"
msgstr "Linie: %(lnum)d Coloană: %(cnum)d"

#: ../../plugins/filebrowser/filebrowser/fbcfg.py:84
msgid ""
"List of files patterns to exclude from view\n"
"The use of wildcards (*) are permitted."
msgstr ""

#: ../../src/prefdlg.py:403
msgid "Load Last Session"
msgstr "Încarcă ultima sesiune"

#: ../../src/ed_menu.py:622
msgid "Load Profile"
msgstr "Încarcă profil"

#: ../../src/ed_main.py:800 ../../src/ed_menu.py:631
msgid "Load Session"
msgstr "Încarcă sesiunea"

#: ../../src/ed_main.py:733 ../../src/ed_menu.py:623
msgid "Load a Custom Profile"
msgstr "Încarcă profil personalizat"

#: ../../src/ed_menu.py:632
msgid "Load a saved session."
msgstr "Încarcă o sesiune salvată."

#: ../../src/ed_menu.py:625
msgid "Load and save custom Profiles"
msgstr "Încarcă și salvează profil personalizat"

#: ../../src/ed_menu.py:634
msgid "Load and save custom sessions."
msgstr "Încarcă și salvează sesiuni."

#: ../../src/prefdlg.py:405
msgid "Load files from last session on startup"
msgstr "Încarcă fișierele din ultima sesiune la pornire"

#: ../../src/ed_main.py:739
#, python-format
msgid "Loaded Profile: %s"
msgstr "Încarcă profil: %s"

#: ../../src/ed_main.py:827
#, python-format
msgid "Loaded Session: %s"
msgstr "Sesiune încărcată: %s"

#: ../../src/prefdlg.py:440
msgid "Locale Settings"
msgstr "Configurări locale"

#: ../../plugins/Launch/launch/launch.py:162
msgid "Lock File"
msgstr ""

#: ../../src/eclib/finddlg.py:813
msgid "Look in"
msgstr "Uită-te în"

#: ../../src/ed_menu.py:818
msgid "Lowercase"
msgstr "Litere mici"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
msgid "Macro Definitions"
msgstr "Definire macrouri"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
msgid "Macros"
msgstr "Macrouri"

#: ../../src/prefdlg.py:1262
msgid "Main display font for various UI components"
msgstr "Fontul principal pentru diferite componente ale interfaței utilizator"

#: ../../src/ed_menu.py:894
msgid "Manage, Download, and Install plugins"
msgstr "Descarcă, instalează și administrează module de extensie"

#: ../../src/ed_menu.py:546
msgid "Manually Set a Lexer/Syntax"
msgstr "Definește manual sintaxa sau un lexer"

#: ../../src/ed_cmdbar.py:315
msgid "Match Case"
msgstr "Sensibil la majuscule"

#: ../../src/eclib/finddlg.py:834
msgid "Match case"
msgstr "Sensibil la majuscule"

#: ../../src/ed_main.py:1427 ../../src/ed_menu.py:771
msgid "Maximize Editor"
msgstr "Maximizează editorul"

#: ../../src/prefdlg.py:1772
msgid "Menu"
msgstr "Meniu"

#: ../../plugins/Launch/launch/cfgdlg.py:133
msgid "Misc"
msgstr "Diverse"

#: ../../src/ed_pages.py:393
msgid "Missing session files"
msgstr "Fișiere sesiune lipsă"

#: ../../src/ed_stc.py:1212
msgid ""
"Mixed EOL characters detected.\n"
"\n"
"Would you like to format them to all be the same?"
msgstr ""
"Sfârșit de linie alambicat.\n"
"Vreți să le uniformizăm ?"

#: ../../src/eclib/infodlg.py:163 ../../src/eclib/infodlg.py:195
msgid "Modified"
msgstr "Modificat"

#: ../../src/prefdlg.py:1782
msgid "Modifier 1"
msgstr "Modificator 1"

#: ../../src/prefdlg.py:1786
msgid "Modifier 2"
msgstr "Modificator 2"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:58
msgid "Modules"
msgstr "Module"

#: ../../src/ed_menu.py:696
msgid "Move Current Line Down"
msgstr "Mută linia curentă mai jos"

#: ../../src/ed_menu.py:693
msgid "Move Current Line Up"
msgstr "Mută linia curentă mai sus"

#: ../../src/ed_editv.py:278
msgid "Move Tab to New Window"
msgstr "Mută tabul în fereastră nouă"

#: ../../src/ed_menu.py:777
msgid "Move caret matching brace"
msgstr "Mută cursorul la paranteza corespondentă"

#: ../../src/ed_menu.py:697
msgid "Move the current line down"
msgstr "Mută linia curentă mai jos"

#: ../../src/ed_menu.py:694
msgid "Move the current line up"
msgstr "Mută linia curentă mai sus"

#: ../../plugins/filebrowser/filebrowser/browser.py:65
msgid "Move to Recycle Bin"
msgstr "Mută la coșul de gunoi"

#: ../../plugins/filebrowser/filebrowser/browser.py:67
msgid "Move to Trash"
msgstr "Mută la coșul de gunoi"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
msgid "Namespaces"
msgstr "Spații de nume"

#: ../../src/prefdlg.py:191
msgid "Network"
msgstr "Rețea"

#: ../../src/ed_toolbar.py:77 ../../src/prefdlg.py:1762
#: ../../src/style_editor.py:193
msgid "New"
msgstr "Nou"

#: ../../src/ed_menu.py:592
msgid "New &Window"
msgstr "&Fereastră nouă"

#: ../../plugins/filebrowser/filebrowser/browser.py:653
#: ../../plugins/filebrowser/filebrowser/browser.py:826
msgid "New File"
msgstr "Fișier nou"

#: ../../plugins/filebrowser/filebrowser/browser.py:652
#: ../../plugins/filebrowser/filebrowser/browser.py:817
msgid "New Folder"
msgstr "Dosar nou"

#: ../../src/ed_menu.py:675
msgid "New Line After"
msgstr "Linie nouă după"

#: ../../src/ed_menu.py:677
msgid "New Line Before"
msgstr "Linia nouă înainte"

#: ../../src/prefdlg.py:1961
msgid "New Profile"
msgstr "Profil nou"

#: ../../src/style_editor.py:365
msgid "New Style Sheet"
msgstr ""

#: ../../src/ed_editv.py:277
msgid "New Tab"
msgstr "Tab nou"

#: ../../src/ed_cmdbar.py:295
msgid "Next"
msgstr "Următor"

#: ../../src/ed_menu.py:784
msgid "Next Bookmark"
msgstr "Următorul semn de carte"

#: ../../src/ed_menu.py:779
msgid "Next Position"
msgstr "Poziția următoare"

#: ../../src/plugdlg.py:365 ../../src/plugin.py:708
msgid "No Description Available"
msgstr "Nicio descriere disponibilă"

#: ../../src/ed_editv.py:495
msgid "No Suggestions"
msgstr "Nicio sugestie"

#: ../../src/ed_pages.py:979
msgid "No Valid Files to Open"
msgstr "Nu există fișiere valide de deschis"

#: ../../src/prefdlg.py:1855
msgid "None"
msgstr "Nimic"

#: ../../src/prefdlg.py:2218
msgid "Normal"
msgstr "Normal"

#: ../../src/ed_search.py:680
msgid "Not implemented"
msgstr "Neimplementat"

#: ../../src/style_editor.py:84
msgid "Ok"
msgstr "OK"

#: ../../src/ed_glob.py:396 ../../src/ed_mdlg.py:137
msgid "Old Machintosh (\\r)"
msgstr "Macintosh vechi (\\r)"

#: ../../src/ed_menu.py:841 ../../src/ed_menu.py:843 ../../src/prefdlg.py:791
msgid "Old Macintosh (\\r)"
msgstr "Macintosh vechi (\\r)"

#: ../../src/ed_menu.py:921
msgid "Online Documentation..."
msgstr "Documentație Online..."

#: ../../src/ed_menu.py:922
msgid "Online project documentation and help guides"
msgstr "Documentație și ajutor online"

#: ../../src/ed_menu.py:595 ../../src/ed_shelf.py:179
#: ../../src/ed_toolbar.py:78
msgid "Open"
msgstr "Deschide"

#: ../../src/ed_menu.py:597
msgid "Open &Recent"
msgstr "Deschide &recent"

#: ../../plugins/PyShell/PyShell/__init__.py:67
msgid "Open A Python Shell"
msgstr "Deschide un shell Python"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:93
msgid "Open Code Browser Sidepanel"
msgstr "Deschide panou lateral de explorare cod"

#: ../../src/ed_pages.py:990
msgid "Open Directory?"
msgstr "Deschideți dosarul?"

#: ../../src/eclib/finddlg.py:732
msgid "Open Documents"
msgstr "Deschide documente"

#: ../../src/ed_pages.py:214
msgid "Open File"
msgstr "Deschide fișier"

#: ../../plugins/filebrowser/filebrowser/browser.py:212
msgid "Open File Browser Sidepanel"
msgstr "Deschide panoul de navigare de fișiere"

#: ../../src/prefdlg.py:507
msgid "Open files in new windows by default"
msgstr "Deschide implicit fișierele într-o fereastră nouă"

#: ../../src/ed_menu.py:718
msgid "Open the Quick Find Bar"
msgstr "Deschide bara de căutare rapidă"

#: ../../plugins/filebrowser/filebrowser/browser.py:641
msgid "Open with "
msgstr "Deschide cu "

#: ../../src/ed_pages.py:1010
#, python-format
msgid "Opened file: %s"
msgstr "Deschide fișier :%s"

#: ../../src/ed_main.py:1496
#, python-format
msgid "Opening %s"
msgstr "Se deschide „%s”"

#: ../../plugins/Launch/launch/cfgdlg.py:132
msgid "Output"
msgstr ""

#: ../../src/ed_menu.py:664
msgid "P&aste After"
msgstr "&Lipește după"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
msgid "Packages"
msgstr "Pachete"

#: ../../src/ed_menu.py:637
msgid "Page Set&up"
msgstr "Configurare pa&gină"

#: ../../src/ed_menu.py:769
msgid "Pane Navigator"
msgstr "Navigator taburi"

#: ../../src/prefdlg.py:1452
msgid "Password"
msgstr "Parolă"

#: ../../src/ed_editv.py:467 ../../src/ed_toolbar.py:90
msgid "Paste"
msgstr "Lipește"

#: ../../src/ed_menu.py:663 ../../src/ed_toolbar.py:91
msgid "Paste Text from Clipboard to File"
msgstr "Lipește text din clipboard în fișier"

#: ../../src/ed_menu.py:665
msgid "Paste Text from Clipboard to File after the cursor"
msgstr "Lipește text din clipboard în fișier după cursor"

#: ../../src/prefdlg.py:608 ../../src/prefdlg.py:609
msgid "Path to libenchant"
msgstr "Calea către libenchant"

#: ../../plugins/filebrowser/filebrowser/browser.py:105
msgid "Pathmarks"
msgstr "Căi favorite"

#: ../../src/eclib/infodlg.py:197
msgid "Permissions"
msgstr "Permisiuni"

#: ../../src/perspective.py:276
msgid "Perspective Name"
msgstr "Nume perspectivă"

#: ../../src/perspective.py:293
msgid "Perspective to Delete"
msgstr "Perspectiva de șters"

#: ../../src/ed_main.py:123
msgid "Perspectives"
msgstr "Perspective"

#: ../../plugins/Launch/launch/cfgdlg.py:427
msgid "Plain Text"
msgstr "Text simplu"

#: ../../src/ed_main.py:1565
msgid "Platform Info"
msgstr "Informații platformă"

#: ../../src/Editra.py:955
msgid "Please check the preferences dialog to check your preferences"
msgstr "Verificați configurările pentru a valida preferințele personale"

#: ../../src/Editra.py:1165
msgid "Please check the preferences dialog to verify your preferences"
msgstr "Vă rugăm verificați setările pentru a valida preferințele personale"

#: ../../src/ed_main.py:1081 ../../src/ed_menu.py:893
msgid "Plugin Manager"
msgstr "Manager de module"

#: ../../src/prefdlg.py:1437
msgid "Port Number"
msgstr "Număr port"

#: ../../src/ed_menu.py:726
msgid "Pr&eferences"
msgstr "Pr&eferințe"

#: ../../src/prefdlg.py:110
msgid "Preferences - Editra"
msgstr "Preferințe - Editra"

#: ../../src/prefdlg.py:503
msgid "Preferred Encoding"
msgstr ""

#: ../../src/style_editor.py:852
msgid "Preview File"
msgstr ""

#: ../../src/ed_menu.py:640
msgid "Preview Printout"
msgstr "Previzualizare imprimare"

#: ../../src/ed_cmdbar.py:303
msgid "Previous"
msgstr "Precedent"

#: ../../src/ed_menu.py:786
msgid "Previous Bookmark"
msgstr "Semnul de carte precedent"

#: ../../src/ed_menu.py:781
msgid "Previous Position"
msgstr "Poziția anterioară"

#: ../../src/prefdlg.py:858
msgid "Primary Font"
msgstr "Font de bază"

#: ../../src/ed_toolbar.py:80
msgid "Print"
msgstr "Tipărește"

#: ../../src/ed_menu.py:641 ../../src/ed_toolbar.py:81
msgid "Print Current File"
msgstr "Tipărește fișierul curent"

#: ../../src/ed_print.py:121
msgid "Print Error"
msgstr "Eroare la tipărire"

#: ../../src/ed_menu.py:639
msgid "Print Pre&view"
msgstr "Pre&vizualizează și tipărește"

#: ../../src/ed_print.py:113
msgid "Print Preview"
msgstr "Previzualizare tipărire"

#: ../../src/ed_main.py:850
msgid "Print failure"
msgstr ""

#: ../../src/ed_print.py:139
msgid "Printer Error"
msgstr "Eroare imprimantă"

#: ../../src/prefdlg.py:393
msgid "Printer Mode"
msgstr "Mod imprimare"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:55
msgid "Procedure Definitions"
msgstr "Definire proceduri"

#: ../../src/ed_main.py:715 ../../src/ed_main.py:735 ../../src/ed_menu.py:624
msgid "Profile"
msgstr "Profil"

#: ../../src/ed_main.py:720
#, python-format
msgid "Profile Saved as: %s"
msgstr "Profil salvat ca: %s"

#: ../../src/Editra.py:957 ../../src/Editra.py:1167
msgid "Profile Updated"
msgstr "Profil actualizat"

#: ../../plugins/Launch/launch/launch.py:156
msgid "Program Executable Command"
msgstr ""

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:55
msgid "Programs"
msgstr "Programe"

#: ../../src/ed_menu.py:918
msgid "Project Homepage..."
msgstr "Pagina web a proiectului..."

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:55
msgid "Protocols"
msgstr "Protocoale"

#: ../../src/prefdlg.py:1423
msgid "Proxy Settings"
msgstr "Configurări proxy"

#: ../../src/prefdlg.py:1433
msgid "Proxy URL"
msgstr "URL Proxy"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:58
msgid "Public Functions"
msgstr "Funcții publice"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:59
msgid "Public Subroutines"
msgstr "Subrutine publice"

#: ../../src/ed_shelf.py:100
msgid "Put an item on the Shelf"
msgstr "Pune un element în Shelf"

#: ../../src/updater.py:690
#, python-format
msgid "Rate: %.2f Kb/s"
msgstr "Rata: %.2f Kb/s"

#: ../../plugins/Launch/launch/__init__.py:100
msgid "Re-run the last run program"
msgstr "Re-execută ultimul program"

#: ../../src/ed_stc.py:1899
msgid "Read Only"
msgstr "Doar citire"

#: ../../src/ed_search.py:879
msgid "Recent Searches"
msgstr "Căutări recente"

#: ../../src/ed_menu.py:598
msgid "Recently Opened Files"
msgstr "Fișiere deschise recent"

#: ../../src/ed_stc.py:1506
msgid "Recording Finished"
msgstr "Înregistrare terminată"

#: ../../src/ed_stc.py:1493
msgid "Recording Macro"
msgstr "Se înregistrează un macro"

#: ../../src/ed_editv.py:463 ../../src/ed_menu.py:656
#: ../../src/ed_toolbar.py:84
msgid "Redo"
msgstr "Refă"

#: ../../src/ed_menu.py:656 ../../src/ed_toolbar.py:84
msgid "Redo Last Undo"
msgstr "Restaurează ultima acțiune anulată"

#: ../../src/ed_search.py:413
msgid "Regex Compile Error"
msgstr "Eroare compilare Regex"

#: ../../src/ed_cmdbar.py:326
msgid "Regular Expression"
msgstr "Expresie regulată"

#: ../../src/eclib/finddlg.py:836
msgid "Regular expression"
msgstr "Expresie regulată"

#: ../../src/ed_editv.py:581
msgid "Reload File?"
msgstr "Reîncărcați fișierul?"

#: ../../src/ed_menu.py:615
msgid "Reload the file with a specified encoding"
msgstr "Reîncarcă fișierul cu codarea specificată"

#: ../../src/ed_main.py:1219
msgid "Reload with Encoding"
msgstr "Reîncarcă cu codarea"

#: ../../src/ed_menu.py:614
msgid "Reload with Encoding..."
msgstr "Reîncarcă cu codarea..."

#: ../../src/prefdlg.py:510
msgid "Remember File Position"
msgstr "Reține poziția fișierului"

#: ../../src/prefdlg.py:1252
msgid "Remember Window Position on Exit"
msgstr "Memorează poziția ferestrei la ieșire"

#: ../../src/prefdlg.py:1249
msgid "Remember Window Size on Exit"
msgstr "Memorează dimensiunea ferestrei la ieșire"

#: ../../src/style_editor.py:198
msgid "Remove"
msgstr ""

#: ../../src/ed_menu.py:703
msgid "Remove All Bookmarks"
msgstr "Înlătură toate favoritele"

#: ../../plugins/filebrowser/filebrowser/browser.py:96
msgid "Remove Saved Path"
msgstr "Șterge căile salvate"

#: ../../src/style_editor.py:209
msgid "Remove Style"
msgstr ""

#: ../../src/ed_menu.py:704
msgid "Remove all bookmarks from the current document"
msgstr "Înlătură favoritele din documentul curent"

#: ../../plugins/filebrowser/filebrowser/fbcfg.py:88
#, fuzzy
msgid "Remove selected filter"
msgstr "Înlătură elementul selectat din listă"

#: ../../plugins/Launch/launch/cfgdlg.py:206
msgid "Remove selection from list"
msgstr "Înlătură elementul selectat din listă"

#: ../../src/ed_menu.py:835
msgid "Remove trailing whitespace"
msgstr "Șterge spațiile excedentare de la finalul liniilor"

#: ../../plugins/filebrowser/filebrowser/browser.py:650
msgid "Rename"
msgstr "Redenumește"

#: ../../src/eclib/finddlg.py:654 ../../src/eclib/finddlg.py:864
msgid "Replace"
msgstr "Înlocuiește"

#: ../../src/eclib/finddlg.py:867
msgid "Replace All"
msgstr "Înlocuiește tot"

#: ../../src/ed_search.py:609
msgid "Replace Error"
msgstr "Eroare la înlocuire"

#: ../../src/eclib/finddlg.py:798
msgid "Replace with"
msgstr "Înlocuiește cu"

#: ../../src/eclib/errdlg.py:322
msgid "Report Error"
msgstr "Raport eroare"

#: ../../src/ed_editv.py:561
msgid "Resave File?"
msgstr "Resalvați fișierul?"

#: ../../src/ed_main.py:1429
msgid "Restore Editor"
msgstr "Restaurează editorul"

#: ../../src/plugdlg.py:662
msgid "Retrieving Plugin List"
msgstr "Descarcă lista cu module de extensie"

#: ../../plugins/filebrowser/filebrowser/browser.py:642
msgid "Reveal in "
msgstr "Arată în "

#: ../../src/ed_menu.py:613
msgid "Revert file to last save point"
msgstr "Readu la ultima configurație salvată"

#: ../../src/prefdlg.py:1152 ../../src/prefdlg.py:1818
msgid "Revert to Default"
msgstr "Readu la valorile implicite"

#: ../../src/ed_menu.py:612
msgid "Revert to Saved"
msgstr "Readu la configurația salvată"

#: ../../plugins/Launch/launch/__init__.py:93
#: ../../plugins/Launch/launch/launch.py:179
#: ../../plugins/Launch/launch/launch.py:543
msgid "Run"
msgstr "Execută"

#: ../../plugins/Launch/launch/__init__.py:98
msgid "Run last executed"
msgstr "Execută ultimul executat"

#: ../../plugins/Launch/launch/__init__.py:74
msgid "Run script from current buffer"
msgstr "Execută scriptul din memoria-tampon curentă"

#: ../../plugins/Launch/launch/__init__.py:95
msgid "Run the file associated with the current buffer in Launch"
msgstr "Execută fișierul asociat memoriei-tampon curente în Launch"

#: ../../src/ed_session.py:182 ../../src/ed_toolbar.py:79
#: ../../src/style_editor.py:88
msgid "Save"
msgstr "Salvează"

#: ../../src/ed_editv.py:280
#, python-format
msgid "Save \"%s\""
msgstr "Salvează „%s”"

#: ../../src/ed_menu.py:608
msgid "Save &As"
msgstr "S&alvează ca"

#: ../../src/ed_menu.py:609
msgid "Save All"
msgstr "Salvează tot"

#: ../../src/ed_menu.py:608 ../../src/ed_session.py:184
#: ../../src/eclib/filemgrdlg.py:121
msgid "Save As"
msgstr "Salvează ca"

#: ../../src/ed_editv.py:618
msgid "Save Changes?"
msgstr "Salvați modificările?"

#: ../../src/ed_menu.py:607 ../../src/ed_toolbar.py:79
msgid "Save Current File"
msgstr "Salvează fișierul curent"

#: ../../src/ed_menu.py:621
msgid "Save Current Settings to a New Profile"
msgstr "Salvează configurările curente într-un profil nou"

#: ../../src/perspective.py:82
msgid "Save Current View"
msgstr "Salvează afișarea curentă"

#: ../../src/ed_mdlg.py:106
msgid "Save Error"
msgstr "Eroare la salvare"

#: ../../src/perspective.py:277
msgid "Save Perspective"
msgstr "Salvează perspectiva"

#: ../../src/ed_menu.py:620
msgid "Save Profile"
msgstr "Salvează profilul"

#: ../../plugins/filebrowser/filebrowser/browser.py:93
msgid "Save Selected Paths"
msgstr "Salvează căile selectate"

#: ../../src/ed_main.py:768 ../../src/ed_menu.py:629
msgid "Save Session"
msgstr "Salvează sesiunea"

#: ../../src/style_editor.py:147 ../../src/style_editor.py:408
msgid "Save Styles"
msgstr "Salvează stilul"

#: ../../src/ed_menu.py:610
msgid "Save all open pages"
msgstr "Salvează toate paginile deschise"

#: ../../src/ed_menu.py:630
msgid "Save the current session."
msgstr "Salvează sesiunea curentă."

#: ../../src/perspective.py:83
msgid "Save the current window layout"
msgstr "Salvează așezarea în pagina curentă"

#: ../../src/ed_main.py:698
#, python-format
msgid "Saved File As: %s"
msgstr "Salvează fișierul ca: %s"

#: ../../src/ed_main.py:626
#, python-format
msgid "Saved File: %s"
msgstr "Fișier salvat: %s"

#: ../../src/ed_search.py:1383
#, python-format
msgid "Search Complete: %d matching lines where found."
msgstr "Căutare completă: %d linii au fost găsite."

#: ../../src/eclib/finddlg.py:837
msgid "Search Recursively"
msgstr "Caută recursiv"

#: ../../src/ed_search.py:1357
msgid "Search Started"
msgstr "Căutare pornită"

#: ../../src/ed_search.py:1376
msgid "Search complete"
msgstr "Căutare completă"

#: ../../src/ed_menu.py:724
msgid "Search for the currently selected phrase"
msgstr "Caută fraza selectată"

#: ../../plugins/filebrowser/filebrowser/browser.py:647
msgid "Search in directory"
msgstr "Caută în dosar"

#: ../../src/ed_search.py:470
msgid "Search wrapped to bottom"
msgstr "Caută potriviri în jos"

#: ../../src/ed_search.py:465
msgid "Search wrapped to top"
msgstr "Caută potriviri în sus"

#: ../../src/prefdlg.py:861
msgid "Secondary Font"
msgstr "Font secundar"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
msgid "Sections"
msgstr "Secțiuni"

#: ../../src/ed_menu.py:669
msgid "Select &All"
msgstr "Select&ează tot"

#: ../../src/ed_editv.py:472
msgid "Select All"
msgstr "Selectează tot"

#: ../../src/ed_menu.py:670
msgid "Select All Text in Document"
msgstr "Selectează tot textul din document"

#: ../../src/ed_main.py:1218
msgid "Select an encoding to reload the file with"
msgstr "Selectează codarea cu care se va reîncărca fișierul"

#: ../../src/plugdlg.py:492
msgid "Select plugins to download"
msgstr "Selectează modulele de extensie de descărcat"

#: ../../src/eclib/finddlg.py:731
msgid "Selected Text"
msgstr "Text selectat"

#: ../../src/ed_menu.py:927
msgid "Send bug reports and suggestions"
msgstr "Trimiteți sugestii și raport probleme"

#: ../../src/ed_pages.py:369
msgid "Session Load Error"
msgstr ""

#: ../../src/ed_menu.py:891
msgid "Session Manager"
msgstr ""

#: ../../src/ed_main.py:768
msgid "Session Name"
msgstr ""

#: ../../src/ed_main.py:777
#, python-format
msgid "Session Saved as: %s"
msgstr "Sesiunea salvată ca: %s"

#: ../../src/ed_pages.py:374
msgid "Session file is empty."
msgstr "Fișierul de sesiune este gol."

#: ../../src/ed_main.py:799
#, python-format
msgid ""
"Session to Load:\n"
"Current Session: '%s'"
msgstr ""

#: ../../src/ed_session.py:203
msgid "Session:"
msgstr ""

#: ../../src/ed_menu.py:633
msgid "Sessions"
msgstr "Sesiuni"

#: ../../src/eclib/ecpickers.py:82
msgid "Set Font"
msgstr "Definește fontul"

#: ../../src/style_editor.py:855
msgid "Set the preview file type"
msgstr "Definește tipul de fișier de previzualizare"

#: ../../src/prefdlg.py:834
msgid "Sets a secondary font used for special regions when syntax highlighting is in use"
msgstr "Definește un font secundar pentru regiunile speciale unde evidențierea este în uz"

#: ../../src/prefdlg.py:829
msgid "Sets the main/default font of the document"
msgstr "Definește fontul implicit/principal pentru document"

#: ../../plugins/Launch/launch/launch.py:150
msgid "Settings"
msgstr "Configurări"

#: ../../src/ed_shelf.py:79 ../../src/ed_shelf.py:99
msgid "Shelf"
msgstr "Shelf"

#: ../../src/ed_menu.py:711
msgid "Show Calltip"
msgstr ""

#: ../../src/ed_menu.py:752 ../../src/ed_menu.py:753 ../../src/prefdlg.py:803
msgid "Show EOL Markers"
msgstr "Arată marcatorii de sfârșit de linie"

#: ../../src/ed_menu.py:750
msgid "Show Edge Guide"
msgstr "Arată ghid margini"

#: ../../plugins/filebrowser/filebrowser/fbcfg.py:69
msgid "Show Hidden Files"
msgstr "Arată fișiere ascunse"

#: ../../src/prefdlg.py:1233
msgid "Show Icons on Tabs"
msgstr "Arată iconițe în taburi"

#: ../../src/ed_menu.py:749
msgid "Show Indentation Guides"
msgstr "Arată sugestii pentru identare"

#: ../../src/ed_menu.py:755
msgid "Show Line Number Margin"
msgstr "Arată marginea cu numărul liniei"

#: ../../src/ed_menu.py:754 ../../src/prefdlg.py:805
msgid "Show Line Numbers"
msgstr "Arată marginea cu numărul liniei"

#: ../../src/ed_shelf.py:485
msgid "Show Shelf"
msgstr "Arată Shelf"

#: ../../src/prefdlg.py:407
msgid "Show Splash Screen"
msgstr "Arată o fotografie la pornire"

#: ../../src/ed_menu.py:790 ../../src/prefdlg.py:1254
msgid "Show Status Bar"
msgstr "Afișează bara de stare"

#: ../../src/ed_menu.py:792 ../../src/prefdlg.py:1256
msgid "Show Toolbar"
msgstr "Afișează bara de unelte"

#: ../../src/ed_menu.py:756 ../../src/prefdlg.py:807
msgid "Show Whitespace"
msgstr "Arată spațiile goale"

#: ../../src/ed_menu.py:757
msgid "Show Whitespace Markers"
msgstr "Arată marcatorii spațiilor goale"

#: ../../src/ed_menu.py:712
msgid "Show a calltip for the current word."
msgstr ""

#: ../../src/ed_menu.py:710
msgid "Show autocompletion hints."
msgstr ""

#: ../../src/ed_log.py:134
msgid "Show output from"
msgstr "Arată ieșire de la"

#: ../../src/ed_shelf.py:487
msgid "Show the Shelf"
msgstr "Arată Shelf"

#: ../../src/ed_menu.py:751
msgid "Show the edge column guide"
msgstr "Arată ghidaj coloane"

#: ../../src/ed_menu.py:892
msgid "Show the session manager bar"
msgstr ""

#: ../../src/style_editor.py:802 ../../src/eclib/infodlg.py:189
msgid "Size"
msgstr "Dimensiune"

#: ../../src/ed_pages.py:394
msgid "Some files in saved session could not be found on disk:\n"
msgstr "Unele fișiere din sesiunea salvată nu au putut fi găsite pe disc:\n"

#: ../../src/style_editor.py:141
msgid "Some styles have been changed would you like to save before exiting?"
msgstr "Unele stiluri s-au schimbat. Doriți să le salvați înainte de a părăsi programul?"

#: ../../src/ed_search.py:679
msgid "Sorry will be ready for future version"
msgstr ""

#: ../../plugins/codebrowser/codebrowser/cbconfig.py:40
msgid "Sorting"
msgstr ""

#: ../../src/ed_menu.py:830
msgid "Spaces to Tabs"
msgstr "Spații în loc de taburi"

#: ../../src/prefdlg.py:580
msgid "Spell Checking"
msgstr "Verificare ortografică"

#: ../../src/ed_editv.py:518
msgid "Spelling"
msgstr ""

#: ../../src/ed_toolbar.py:77
msgid "Start a New File"
msgstr "Deschide un fișier nou"

#: ../../src/ed_menu.py:591
msgid "Start a new file in a new tab"
msgstr "Deschide un fișier nou într-un tab nou"

#: ../../src/ed_menu.py:593
msgid "Start a new file in a new window"
msgstr "Deschide un fișier nou într-o fereastră nouă"

#: ../../src/prefdlg.py:1006
msgid "Start in Normal Mode"
msgstr "Pornește în modul normal"

#: ../../src/prefdlg.py:305
msgid "Startup"
msgstr "Pornire"

#: ../../src/prefdlg.py:429
msgid "Startup Settings"
msgstr "Configurări de pornire"

#: ../../src/prefdlg.py:1561 ../../src/updater.py:291
msgid "Status Unknown"
msgstr "Stare necunoscută"

#: ../../src/ed_menu.py:895 ../../src/style_editor.py:70
msgid "Style Editor"
msgstr "Editor de stil"

#: ../../src/style_editor.py:723
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
msgid "Style Tags"
msgstr "Etichete stiluri"

#: ../../src/style_editor.py:202
msgid "Style Theme"
msgstr "Temă stil"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:57
msgid "Subroutine Declarations"
msgstr "Declarații de subrutine"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
msgid "Subroutines"
msgstr "Subrutine"

#: ../../src/plugdlg.py:818
msgid "Successfully Installed Plugins"
msgstr "Modulele de extensie au fost instalate cu succes"

#: ../../src/prefdlg.py:565
msgid "Suffix for backup file names"
msgstr ""

#: ../../src/ed_menu.py:559 ../../src/syntax/syntax.py:314
#, python-format
msgid "Switch Lexer to %s"
msgstr "Schimbă analizorul de sintaxă la %s"

#: ../../plugins/filebrowser/filebrowser/fbcfg.py:64
msgid "Synch tree with tab selection"
msgstr ""

#: ../../src/ed_menu.py:872 ../../src/prefdlg.py:715 ../../src/prefdlg.py:1125
msgid "Syntax Highlighting"
msgstr "Evidențiere sintaxă"

#: ../../src/plugdlg.py:756
msgid "System Directory"
msgstr "Dosar sistem"

#: ../../src/prefdlg.py:762
msgid "Tab Width"
msgstr "Lățime tab"

#: ../../src/ed_menu.py:832
msgid "Tabs to Spaces"
msgstr "Convertește taburile în spații"

#: ../../plugins/codebrowser/codebrowser/cbrowser.py:57
msgid "Task Definitions"
msgstr "Definiții acțiuni personalizate"

#: ../../plugins/Launch/launch/cfgdlg.py:385
msgid "Text Colors"
msgstr "Culori text"

#: ../../src/util.py:378
msgid "Text Document"
msgstr "Document text"

#: ../../src/ed_pages.py:160
#, python-format
msgid ""
"The correct encoding of '%s' could not be determined.\n"
"\n"
"Choose an encoding and select Ok to open the file with the chosen encoding.\n"
"Click Cancel to abort opening the file"
msgstr ""
"Codarea corectă a „%s” nu poate fi determinată.\n"
"Alegeți o variantă și click OK pentru a deschide fișierul,\n"
"sau click Renunță pentru a anula deschiderea."

#: ../../src/ed_editv.py:615
#, python-format
msgid ""
"The file: \"%s\" has been modified since the last save point.\n"
"\n"
"Would you like to save the changes?"
msgstr ""
"Fișierul „%s” a fost modificat între timp.\n"
"Salvați schimbările?"

#: ../../src/style_editor.py:144
#, python-format
msgid "The new style sheet '%s' has not been saved would you like to save before exiting?"
msgstr ""

#: ../../src/style_editor.py:403
#, python-format
msgid ""
"The new style theme '%s' has not been saved.\n"
"\n"
"Would you like to save it before changing themes?"
msgstr ""

#: ../../plugins/Launch/launch/launch.py:680
msgid "The requested command could not be executed."
msgstr ""

#: ../../src/ed_search.py:370
#, python-format
msgid "The search term '%(term)s' was found %(count)d times."
msgstr "Termenul căutat „%(term)s” a fost găsit de %(count)d ori."

#: ../../src/style_editor.py:371
#, python-format
msgid "The style %s already exists. Please choose a different name."
msgstr ""

#: ../../src/ed_pages.py:977
#, python-format
msgid "There are no files that Editra can open in %s"
msgstr "Nu există fișiere pe care Editra să le poată deschide în %s"

#: ../../src/ed_print.py:137
msgid ""
"There was an error when printing.\n"
"Check that your printer is properly connected."
msgstr ""

#: ../../src/plugdlg.py:402
msgid "This plugin requires a newer version of Editra."
msgstr ""

#: ../../src/plugdlg.py:1053
msgid "This plugin will be uninstalled on next program launch."
msgstr ""

#: ../../src/ed_editv.py:470
msgid "To Lowercase"
msgstr "În litere mici"

#: ../../src/ed_editv.py:469
msgid "To Uppercase"
msgstr "În litere mari"

#: ../../src/plugdlg.py:741
msgid ""
"To add a new item drag and drop the plugin file into the list.\n"
"\n"
"To remove an item select it and hit Delete or Backspace."
msgstr ""
"Pentru a adăuga un nou element trageți cu mausul fișierul în listă.\n"
"\n"
"Pentru a elimina un element, apăsați Delete sau BackSpace."

#: ../../src/ed_menu.py:866
msgid "Toggle Auto-Indentation functionality"
msgstr "Comută între funcționalitatea auto-identării"

#: ../../src/ed_menu.py:701
msgid "Toggle Bookmark"
msgstr "Comută favorite"

#: ../../src/ed_menu.py:871
msgid "Toggle Code Folding"
msgstr "Comută pliere cod"

#: ../../src/ed_menu.py:807
msgid "Toggle Comment"
msgstr "Comută comentarii"

#: ../../src/ed_menu.py:772
msgid "Toggle Editor Maximization"
msgstr "Comută maximizare editor"

#: ../../src/ed_menu.py:759
msgid "Toggle Editor View Options"
msgstr "Comută opțiuni vizualizare ale editorului"

#: ../../src/ed_menu.py:763 ../../src/ed_menu.py:764
msgid "Toggle all folds"
msgstr "Comută toate plierile"

#: ../../src/ed_menu.py:702
msgid "Toggle bookmark of the current line"
msgstr "Comută semnul de carte al liniei curente"

#: ../../src/ed_menu.py:808
msgid "Toggle comment on the selected line(s)"
msgstr "Comută comentariul pe al liniile curente"

#: ../../src/ed_menu.py:762
msgid "Toggle current fold"
msgstr "Comută plierea curentă de cod"

#: ../../src/ed_menu.py:761
msgid "Toggle fold"
msgstr "Comută pliere cod"

#: ../../src/prefdlg.py:1227
msgid "Toolbar Icon Size"
msgstr "Mărimea iconițelor din bara cu unelte"

#: ../../plugins/Launch/launch/cfgdlg.py:208
msgid "Transient XML Handler"
msgstr ""

#: ../../src/ed_menu.py:923
msgid "Translate Editra..."
msgstr "Tradu Editra..."

#: ../../src/prefdlg.py:1280
msgid "Transparency"
msgstr "Transparență"

#: ../../src/ed_menu.py:691
msgid "Transpose Line"
msgstr "Transpune linie"

#: ../../src/ed_menu.py:692
msgid "Transpose the current line with the previous one"
msgstr "Înlocuiește linia curentă cu precedenta"

#: ../../src/ed_menu.py:834
msgid "Trim Trailing Whitespace"
msgstr "Taie spațiile libere de la sfârșit"

#: ../../src/prefdlg.py:811
msgid "Turn off for better performance"
msgstr "Închideți pentru performanțe superioare"

#: ../../src/util.py:195
msgid "Unable to accept dropped file or text"
msgstr "Nu s-a putut accepta element din listă sau text"

#: ../../src/eclib/filemgrdlg.py:174
#, python-format
msgid "Unable to delete %s"
msgstr ""

#: ../../src/plugdlg.py:497
msgid "Unable to retrieve plugin list"
msgstr "Nu pot descărca lista cu module de extensie"

#: ../../src/ed_editv.py:462 ../../src/ed_toolbar.py:83
msgid "Undo"
msgstr "Anulează"

#: ../../src/ed_menu.py:655 ../../src/ed_toolbar.py:83
msgid "Undo Last Action"
msgstr "Anulează ultima acțiune"

#: ../../src/ed_menu.py:813
msgid "Unindent Lines"
msgstr "Deidentează liniile"

#: ../../src/ed_menu.py:814
msgid "Unindent the selected lines"
msgstr "Deindentează liniile selectate"

#: ../../src/plugdlg.py:937
msgid "Uninstall"
msgstr "Dezinstalează"

#: ../../src/plugdlg.py:1049
msgid "Uninstall Plugin"
msgstr "Dezinstalează modulul de extensie"

#: ../../src/ed_glob.py:397 ../../src/ed_mdlg.py:137 ../../src/ed_menu.py:844
#: ../../src/ed_menu.py:846 ../../src/prefdlg.py:791
msgid "Unix (\\n)"
msgstr "Unix (\\n)"

#: ../../src/plugdlg.py:359 ../../src/plugdlg.py:367 ../../src/plugdlg.py:413
#: ../../src/plugdlg.py:417 ../../src/plugin.py:709 ../../src/util.py:384
#: ../../src/eclib/infodlg.py:298
msgid "Unknown"
msgstr "Necunoscut"

#: ../../src/eclib/finddlg.py:824
msgid "Up"
msgstr "Sus"

#: ../../src/prefdlg.py:1390
msgid "Update"
msgstr "Actualizează"

#: ../../src/Editra.py:645
msgid "Update Available"
msgstr "Actualizări disponibile"

#: ../../src/ed_menu.py:816
msgid "Uppercase"
msgstr "Majuscule"

#: ../../src/ed_menu.py:864
msgid "Use Auto Completion when available"
msgstr "Folosește auto-completarea dacă e disponibilă"

#: ../../src/prefdlg.py:1428
msgid "Use Proxy"
msgstr "Folosește Proxy"

#: ../../src/ed_menu.py:821
msgid "Use Soft Tabs"
msgstr ""

#: ../../src/prefdlg.py:781
msgid "Use Tabs Instead of Spaces"
msgstr "Folosește taburi în locul spațiilor"

#: ../../src/prefdlg.py:554
msgid "Used to set a custom backup path. If not specified the backup will be put in the same directory as the file."
msgstr ""

#: ../../src/plugdlg.py:752
msgid "User Directory"
msgstr "Dosar utilizator"

#: ../../src/prefdlg.py:1445
msgid "Username"
msgstr "Nume utilizator"

#: ../../plugins/filebrowser/filebrowser/fbcfg.py:66
#, fuzzy
msgid "View"
msgstr "&Vizualizare"

#: ../../src/ed_log.py:81
msgid "View Editra's console log"
msgstr "Arată jurnalul Editra"

#: ../../src/ed_menu.py:785
msgid "View Line of Next Bookmark"
msgstr "Arată linia următorului semn de carte"

#: ../../src/ed_menu.py:787
msgid "View Line of Previous Bookmark"
msgstr "Arată linia anteriorului semn de carte"

#: ../../src/prefdlg.py:849
msgid "View Options"
msgstr "Vizualizare opțiuni"

#: ../../src/prefdlg.py:813
msgid "View Virtual Space After Last Line"
msgstr ""

#: ../../src/ed_bookmark.py:80
msgid "View all bookmarks"
msgstr ""

#: ../../src/ed_menu.py:770
msgid "View pane selection list"
msgstr "Arată lista selecțiilor de panouri"

#: ../../src/ed_menu.py:919
#, python-format
msgid "Visit the project homepage %s"
msgstr "Vizitați pagina proiectului %s"

#: ../../src/prefdlg.py:969
msgid "Visual Helpers"
msgstr "Ajutor vizual"

#: ../../src/prefdlg.py:523
msgid "Warn when mixed eol characters are detected"
msgstr "Avertizează când sunt prezente caractere EOL mixte"

#: ../../plugins/Launch/launch/cfgdlg.py:448
msgid "Warning Text"
msgstr "Atenționare text"

#: ../../src/prefdlg.py:997
msgid "Warning suggestions will include context insensitive results"
msgstr ""

#: ../../src/eclib/infodlg.py:191
msgid "Where"
msgstr "Unde"

#: ../../src/ed_main.py:713
msgid "Where to Save Profile?"
msgstr "Unde doriți să salvați profilul?"

#: ../../src/ed_menu.py:836
msgid "Whitespace"
msgstr "Spațiu alb"

#: ../../src/ed_menu.py:837
msgid "Whitespace formating commands"
msgstr "Comenzi de formatare a spațiilor albe"

#: ../../src/ed_cmdbar.py:322
msgid "Whole Word"
msgstr "Întreg cuvântul"

#: ../../src/eclib/finddlg.py:835
msgid "Whole word"
msgstr "Întreg cuvântul"

#: ../../src/eclib/finddlg.py:843
msgid "Wildcard shell patterns for matching files (*.txt *.html)."
msgstr ""

#: ../../src/ed_glob.py:398 ../../src/ed_mdlg.py:138 ../../src/ed_menu.py:847
#: ../../src/ed_menu.py:849 ../../src/prefdlg.py:792
msgid "Windows (\\r\\n)"
msgstr "Windows (\\r\\n)"

#: ../../src/ed_menu.py:709
msgid "Word Completion"
msgstr ""

#: ../../src/ed_menu.py:824 ../../src/prefdlg.py:809
msgid "Word Wrap"
msgstr ""

#: ../../src/style_editor.py:398
#, python-format
msgid ""
"Would you like to save the changes to '%s' before changing themes?\n"
"\n"
"Selecting No will result in all changes being lost."
msgstr ""

#: ../../src/ed_menu.py:825
msgid "Wrap Text Horizontally"
msgstr ""

#: ../../plugins/Launch/launch/cfgdlg.py:399
msgid "Wrap lines in output buffer"
msgstr ""

#: ../../src/ed_main.py:1563
#, python-format
msgid "Written in 100%% Python."
msgstr "Scris 100%% în Python"

#: ../../src/plugdlg.py:193
msgid "You must restart Editra before your changes will take full affect."
msgstr "Trebuie să reporniți Editra înainte ca modificările să aibă efect."

#: ../../src/Editra.py:953 ../../src/Editra.py:1163
msgid "Your profile has been updated to the latest version"
msgstr "Profilul a fost actualizat conform ultimei versiuni"

#: ../../src/ed_menu.py:741 ../../src/ed_menu.py:742
msgid "Zoom Default"
msgstr "Zoom implicit"

#: ../../src/ed_menu.py:740
msgid "Zoom In"
msgstr "Mărește"

#: ../../src/ed_menu.py:739
msgid "Zoom Out"
msgstr "Micșorează"

#: ../../plugins/Launch/launch/launch.py:169
msgid "args"
msgstr "argumente"

#: ../../src/style_editor.py:769
msgid "bold"
msgstr "aldin"

#: ../../src/ed_cmdbar.py:912
msgid "cwd: "
msgstr "cwd: "

#: ../../src/style_editor.py:770
msgid "eol"
msgstr "eol"

#: ../../plugins/Launch/launch/launch.py:153
msgid "exec"
msgstr "exec"

#: ../../src/style_editor.py:771
msgid "italic"
msgstr "cursiv"

#: ../../src/style_editor.py:772
msgid "underline"
msgstr "subliniere"

#: ../../src/ed_pages.py:236
msgid "untitled"
msgstr ""

#: ../../src/ed_pages.py:234
#, python-format
msgid "untitled %d"
msgstr ""

#~ msgid "Desktop"
#~ msgstr "Desktop"

#~ msgid "Home directory"
#~ msgstr "Dosar personal"

#~ msgid "Searching in: %s"
#~ msgstr "Caută în: %s"

#~ msgid "To open multiple files at once %s+Click to select the desired files/folders then hit Enter to open them all at once"
#~ msgstr "Pentru a deschide mai multe fișiere deodată %s+clic pentru selecție apoi Enter pentru a le deschide pe toate"

#~ msgid "Untitled_File"
#~ msgstr "Fișier_fără_nume"

#~ msgid "Untitled_Folder"
#~ msgstr "Dosar_fără_nume"