~nisjuk/flashlight-firmware/nisjuk

Viewing all changes in revision 445.

  • Committer: Selene Scriven
  • Date: 2019-09-24 06:01:31 UTC
  • Revision ID: bzr@toykeeper.net-20190924060131-du8yudrq7wrg0gsa
sped up blink_digit() a little bit, because it was annoyingly slow

expand all expand all

Show diffs side-by-side

added added

removed removed

Lines of Context: