~jstys-z/helioviewer.org/timeline

« back to all changes in this revision

Viewing changes to timeline/2.txt

  • Committer: Jeff Stys
  • Date: 2014-04-21 12:46:26 UTC
  • Revision ID: jstys@sesda3.com-20140421124626-2332pb2dyjc33jxi
Proof-of-concept version of Data Coverage Timeline using Highchart/Highstock javascript library.  Changes to getDataCoverage API in order to feed the necessary data to the Timeline

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
[
 
2
[821757600,1],
 
3
[821763300,1],
 
4
[821769000,1],
 
5
[822177600,1],
 
6
[822276000,1],
 
7
[822370800,1],
 
8
[822498000,1],
 
9
[822561600,1],
 
10
[822691800,1],
 
11
[822794700,1],
 
12
[822876600,1],
 
13
[822985200,1],
 
14
[823044600,1],
 
15
[823071900,1],
 
16
[823074900,1],
 
17
[823079100,1],
 
18
[823083300,1],
 
19
[823087500,1],
 
20
[823091700,1],
 
21
[823095900,1],
 
22
[823100100,1],
 
23
[823104000,1],
 
24
[823108200,1],
 
25
[823112400,1],
 
26
[823116600,1],
 
27
[823122900,1],
 
28
[823139700,1],
 
29
[823226400,1],
 
30
[823246200,1],
 
31
[823249200,1],
 
32
[823253400,1],
 
33
[823257300,1],
 
34
[823261200,1],
 
35
[823265400,1],
 
36
[823269600,1],
 
37
[823273500,1],
 
38
[823277700,1],
 
39
[823281900,1],
 
40
[823285800,1],
 
41
[823290000,1],
 
42
[823294200,1],
 
43
[823310700,1],
 
44
[823322400,1],
 
45
[823326600,1],
 
46
[823330500,1],
 
47
[823334400,1],
 
48
[823338300,1],
 
49
[823342500,1],
 
50
[823346400,1],
 
51
[823350000,1],
 
52
[823353900,1],
 
53
[823357800,1],
 
54
[823362000,1],
 
55
[823365900,1],
 
56
[823369800,1],
 
57
[823373700,1],
 
58
[823377900,1],
 
59
[823554300,1],
 
60
[823647900,1],
 
61
[823738200,1],
 
62
[823810500,1],
 
63
[823915500,1],
 
64
[823999500,1],
 
65
[824083200,1],
 
66
[824155800,1],
 
67
[824243400,1],
 
68
[824418900,1],
 
69
[824517300,1],
 
70
[824598000,1],
 
71
[824679000,1],
 
72
[824866800,1],
 
73
[824949900,1],
 
74
[825066000,1],
 
75
[825075000,1],
 
76
[825084000,1],
 
77
[825114900,1],
 
78
[825140400,1],
 
79
[825224100,1],
 
80
[825231000,1],
 
81
[825237900,1],
 
82
[825276900,1],
 
83
[825384300,1],
 
84
[825460200,1],
 
85
[825534600,1],
 
86
[825536400,1],
 
87
[825627000,1],
 
88
[825631500,1],
 
89
[825636600,1],
 
90
[825653700,1],
 
91
[825654600,1],
 
92
[825654900,1],
 
93
[825655500,1],
 
94
[825702600,1],
 
95
[825703200,1],
 
96
[825703800,1],
 
97
[825704700,1],
 
98
[825716400,1],
 
99
[825717000,1],
 
100
[825718500,1],
 
101
[825732300,1],
 
102
[825734700,1],
 
103
[825758400,1],
 
104
[825761100,1],
 
105
[825765000,1],
 
106
[825768900,1],
 
107
[825772500,1],
 
108
[825776400,1],
 
109
[825780300,1],
 
110
[825783900,1],
 
111
[825787800,1],
 
112
[825799500,1],
 
113
[825825600,1],
 
114
[825837600,1],
 
115
[825867000,1],
 
116
[825888000,1],
 
117
[825917700,1],
 
118
[825945300,1],
 
119
[825948300,1],
 
120
[825952500,1],
 
121
[825956400,1],
 
122
[825960600,1],
 
123
[825964500,1],
 
124
[825969300,1],
 
125
[825972300,1],
 
126
[825973800,1],
 
127
[825974700,1],
 
128
[825975600,1],
 
129
[825976500,1],
 
130
[825989700,1],
 
131
[826000500,1],
 
132
[826029000,1],
 
133
[826085100,1],
 
134
[826113600,1],
 
135
[826142400,1],
 
136
[826166400,1],
 
137
[826194900,1],
 
138
[826230000,1],
 
139
[826275000,1],
 
140
[826303500,1],
 
141
[826334700,1],
 
142
[826340100,1],
 
143
[826368600,1],
 
144
[826397100,1],
 
145
[826417500,1],
 
146
[826446300,1],
 
147
[826474800,1],
 
148
[826495200,1],
 
149
[826523700,1],
 
150
[826552200,1],
 
151
[826570800,1],
 
152
[826632900,1],
 
153
[826679400,1],
 
154
[826707900,1],
 
155
[826736400,1],
 
156
[826759800,1],
 
157
[826769700,1],
 
158
[826798200,1],
 
159
[826826700,1],
 
160
[826929600,1],
 
161
[826944000,1],
 
162
[826972500,1],
 
163
[827000700,1],
 
164
[827018100,1],
 
165
[827031600,1],
 
166
[827060100,1],
 
167
[827088600,1],
 
168
[827111700,1],
 
169
[827140200,1],
 
170
[827168400,1],
 
171
[827261400,1],
 
172
[827262000,1],
 
173
[827263200,1],
 
174
[827264400,1],
 
175
[827265300,1],
 
176
[827266500,1],
 
177
[827282100,1],
 
178
[827289000,1],
 
179
[827289900,1],
 
180
[827444700,1],
 
181
[827475600,1],
 
182
[827504100,1],
 
183
[827556900,1],
 
184
[827585400,1],
 
185
[827613900,1],
 
186
[827720700,1],
 
187
[827729100,1],
 
188
[827757600,1],
 
189
[827786100,1],
 
190
[827822100,1],
 
191
[827850600,1],
 
192
[827879100,1],
 
193
[827904300,1],
 
194
[827932500,1],
 
195
[827961000,1],
 
196
[827990700,1],
 
197
[828019200,1],
 
198
[828086400,1],
 
199
[828114600,1],
 
200
[828123900,1],
 
201
[828154500,1],
 
202
[828182700,1],
 
203
[828211200,1],
 
204
[828243600,1],
 
205
[828300600,1],
 
206
[828314700,1],
 
207
[828318900,1],
 
208
[828323400,1],
 
209
[828351600,1],
 
210
[828380100,1],
 
211
[828422400,1],
 
212
[828450600,1],
 
213
[828479100,1],
 
214
[828507900,1],
 
215
[828536400,1],
 
216
[828565800,1],
 
217
[828572100,1],
 
218
[828583200,1],
 
219
[828600900,1],
 
220
[828663000,1],
 
221
[828761400,1],
 
222
[828789900,1],
 
223
[828818400,1],
 
224
[828845100,1],
 
225
[828927600,1],
 
226
[828956100,1],
 
227
[829017900,1],
 
228
[829046700,1],
 
229
[829071600,1],
 
230
[829104000,1],
 
231
[829132500,1],
 
232
[829158000,1],
 
233
[829200600,1],
 
234
[829275300,1],
 
235
[829303800,1],
 
236
[829332300,1],
 
237
[829359000,1],
 
238
[829387500,1],
 
239
[829416000,1],
 
240
[829449000,1],
 
241
[829477500,1],
 
242
[829506000,1],
 
243
[829528200,1],
 
244
[829556700,1],
 
245
[829587600,1],
 
246
[829689900,1],
 
247
[829708200,1],
 
248
[829714200,1],
 
249
[829793400,1],
 
250
[829869900,1],
 
251
[829871700,1],
 
252
[829875600,1],
 
253
[829876500,1],
 
254
[829968600,1],
 
255
[830044200,1],
 
256
[830139000,1],
 
257
[830220300,1],
 
258
[830230200,1],
 
259
[830288100,1],
 
260
[830298600,1],
 
261
[830377200,1],
 
262
[830386200,1],
 
263
[830404500,1],
 
264
[830475900,1],
 
265
[830501700,1],
 
266
[830530200,1],
 
267
[830595000,1],
 
268
[830616300,1],
 
269
[830670600,1],
 
270
[830691300,1],
 
271
[830712300,1],
 
272
[830733300,1],
 
273
[830756400,1],
 
274
[830777400,1],
 
275
[830798700,1],
 
276
[830819700,1],
 
277
[830841000,1],
 
278
[830873100,1],
 
279
[830904900,1],
 
280
[830924100,1],
 
281
[830956200,1],
 
282
[830987100,1],
 
283
[831015000,1],
 
284
[831046200,1],
 
285
[831077400,1],
 
286
[831093900,1],
 
287
[831125400,1],
 
288
[831156600,1],
 
289
[831184200,1],
 
290
[831215400,1],
 
291
[831246900,1],
 
292
[831270000,1],
 
293
[831296700,1],
 
294
[831323400,1],
 
295
[831351300,1],
 
296
[831378000,1],
 
297
[831404700,1],
 
298
[831461400,1],
 
299
[831482100,1],
 
300
[831503100,1],
 
301
[831543900,1],
 
302
[831565200,1],
 
303
[831586200,1],
 
304
[831608700,1],
 
305
[831610500,1],
 
306
[831614100,1],
 
307
[831638700,1],
 
308
[831659700,1],
 
309
[831680700,1],
 
310
[831711300,1],
 
311
[831732300,1],
 
312
[831753300,1],
 
313
[831797100,1],
 
314
[831818100,1],
 
315
[831839100,1],
 
316
[831859800,1],
 
317
[831888000,1],
 
318
[831909000,1],
 
319
[831930300,1],
 
320
[831963000,1],
 
321
[831984000,1],
 
322
[832005000,1],
 
323
[832026000,1],
 
324
[832036200,1],
 
325
[832057200,1],
 
326
[832078200,1],
 
327
[832099200,1],
 
328
[832148400,1],
 
329
[832224600,1],
 
330
[832305900,1],
 
331
[832382400,1],
 
332
[832398000,1],
 
333
[832416300,1],
 
334
[832434900,1],
 
335
[832464300,1],
 
336
[832558500,1],
 
337
[832612200,1],
 
338
[832630200,1],
 
339
[832650900,1],
 
340
[832709100,1],
 
341
[832753800,1],
 
342
[832835400,1],
 
343
[832857000,1],
 
344
[833090400,1],
 
345
[833179500,1],
 
346
[833256000,1],
 
347
[833343300,1],
 
348
[833412300,1],
 
349
[833430000,1],
 
350
[833515800,1],
 
351
[833697000,1],
 
352
[833773200,1],
 
353
[833950500,1],
 
354
[834192600,1],
 
355
[834193200,1],
 
356
[834295200,1],
 
357
[834384600,1],
 
358
[834558300,1],
 
359
[834636300,1],
 
360
[834728700,1],
 
361
[834901500,1],
 
362
[834968400,1],
 
363
[834984300,1],
 
364
[835053600,1],
 
365
[835069500,1],
 
366
[835163700,1],
 
367
[835331400,1],
 
368
[835403100,1],
 
369
[835421400,1],
 
370
[835488600,1],
 
371
[835685700,1],
 
372
[835849200,1],
 
373
[835905000,1],
 
374
[835906500,1],
 
375
[835908600,1],
 
376
[835912800,1],
 
377
[835917300,1],
 
378
[835927200,1],
 
379
[835929600,1],
 
380
[835933800,1],
 
381
[835938300,1],
 
382
[835942800,1],
 
383
[835947300,1],
 
384
[835951800,1],
 
385
[835956000,1],
 
386
[835960500,1],
 
387
[835965000,1],
 
388
[835969200,1],
 
389
[835973700,1],
 
390
[835996200,1],
 
391
[836112600,1],
 
392
[836181600,1],
 
393
[836199000,1],
 
394
[836283300,1],
 
395
[836358300,1],
 
396
[836627100,1],
 
397
[836717100,1],
 
398
[836799900,1],
 
399
[836849700,1],
 
400
[836873400,1],
 
401
[836946300,1],
 
402
[836967600,1],
 
403
[837124800,1],
 
404
[837141000,1],
 
405
[837232200,1],
 
406
[837322200,1],
 
407
[837401100,1],
 
408
[837489600,1],
 
409
[837552600,1],
 
410
[837668400,1],
 
411
[837757200,1],
 
412
[837796200,1],
 
413
[837800400,1],
 
414
[837847500,1],
 
415
[837901800,1],
 
416
[837924000,1],
 
417
[838006800,1],
 
418
[838056000,1],
 
419
[838056600,1],
 
420
[838063200,1],
 
421
[838183800,1],
 
422
[838255500,1],
 
423
[838274400,1],
 
424
[838336500,1],
 
425
[838370400,1],
 
426
[838408200,1],
 
427
[838584000,1],
 
428
[838663200,1],
 
429
[838664400,1],
 
430
[838706700,1],
 
431
[838746000,1],
 
432
[838753500,1],
 
433
[838794300,1],
 
434
[838858200,1],
 
435
[838868400,1],
 
436
[839003700,1],
 
437
[839037600,1],
 
438
[839050500,1],
 
439
[839073000,1],
 
440
[839091600,1],
 
441
[839113800,1],
 
442
[839136900,1],
 
443
[839169300,1],
 
444
[839184600,1],
 
445
[839214900,1],
 
446
[839236800,1],
 
447
[839260200,1],
 
448
[839283000,1],
 
449
[839366400,1],
 
450
[839376000,1],
 
451
[839396100,1],
 
452
[839415900,1],
 
453
[839437200,1],
 
454
[839458800,1],
 
455
[839484300,1],
 
456
[839505300,1],
 
457
[839523900,1],
 
458
[839545200,1],
 
459
[839568900,1],
 
460
[839590200,1],
 
461
[839613900,1],
 
462
[839878200,1],
 
463
[839891700,1],
 
464
[839932200,1],
 
465
[839953800,1],
 
466
[839979600,1],
 
467
[840007800,1],
 
468
[840023100,1],
 
469
[840040200,1],
 
470
[840064200,1],
 
471
[840089400,1],
 
472
[840105000,1],
 
473
[840126600,1],
 
474
[840153000,1],
 
475
[840153300,1],
 
476
[840173700,1],
 
477
[840193200,1],
 
478
[840214800,1],
 
479
[840229800,1],
 
480
[840240000,1],
 
481
[840264300,1],
 
482
[840282300,1],
 
483
[840303900,1],
 
484
[840323400,1],
 
485
[840352200,1],
 
486
[840371700,1],
 
487
[840391800,1],
 
488
[840412800,1],
 
489
[840438000,1],
 
490
[840457500,1],
 
491
[840477900,1],
 
492
[840494100,1],
 
493
[840515700,1],
 
494
[840538800,1],
 
495
[840560700,1],
 
496
[840582000,1],
 
497
[840602100,1],
 
498
[840625200,1],
 
499
[840647100,1],
 
500
[840673800,1],
 
501
[840691200,1],
 
502
[840712200,1],
 
503
[840733500,1],
 
504
[840752100,1],
 
505
[840776700,1],
 
506
[840798000,1],
 
507
[840819900,1],
 
508
[840841200,1],
 
509
[840871500,1],
 
510
[840884400,1],
 
511
[840884700,1],
 
512
[840906300,1],
 
513
[840927600,1],
 
514
[840951300,1],
 
515
[840970800,1],
 
516
[840992400,1],
 
517
[841014000,1],
 
518
[841037700,1],
 
519
[841057200,1],
 
520
[841078800,1],
 
521
[841101000,1],
 
522
[841122300,1],
 
523
[841143600,1],
 
524
[841165200,1],
 
525
[841186800,1],
 
526
[841208700,1],
 
527
[841230000,1],
 
528
[841251900,1],
 
529
[841270500,1],
 
530
[841275300,1],
 
531
[841295400,1],
 
532
[841316400,1],
 
533
[841338000,1],
 
534
[841359600,1],
 
535
[841381500,1],
 
536
[841402800,1],
 
537
[841424700,1],
 
538
[841453500,1],
 
539
[841467900,1],
 
540
[841489200,1],
 
541
[841511100,1],
 
542
[841545300,1],
 
543
[841546500,1],
 
544
[841553400,1],
 
545
[841575600,1],
 
546
[841594800,1],
 
547
[841618800,1],
 
548
[841640700,1],
 
549
[841662000,1],
 
550
[841680600,1],
 
551
[841705200,1],
 
552
[841748400,1],
 
553
[841770000,1],
 
554
[841798500,1],
 
555
[841813500,1],
 
556
[841834800,1],
 
557
[841857600,1],
 
558
[841878000,1],
 
559
[841899900,1],
 
560
[841921200,1],
 
561
[841944000,1],
 
562
[841964400,1],
 
563
[841986900,1],
 
564
[842007900,1],
 
565
[842031000,1],
 
566
[842921100,1],
 
567
[842936700,1],
 
568
[842958000,1],
 
569
[842977800,1],
 
570
[843001200,1],
 
571
[843025500,1],
 
572
[843044400,1],
 
573
[843061500,1],
 
574
[843077700,1],
 
575
[843079800,1],
 
576
[843111300,1],
 
577
[843130800,1],
 
578
[843149100,1],
 
579
[843174000,1],
 
580
[843197700,1],
 
581
[843217200,1],
 
582
[843234300,1],
 
583
[843258900,1],
 
584
[843284100,1],
 
585
[843303600,1],
 
586
[843319800,1],
 
587
[843346800,1],
 
588
[843371100,1],
 
589
[843391500,1],
 
590
[843406200,1],
 
591
[843458400,1],
 
592
[843478800,1],
 
593
[843492900,1],
 
594
[843519000,1],
 
595
[843543300,1],
 
596
[843562800,1],
 
597
[843579000,1],
 
598
[843609000,1],
 
599
[843629700,1],
 
600
[843649200,1],
 
601
[843665400,1],
 
602
[843692400,1],
 
603
[843717900,1],
 
604
[843735600,1],
 
605
[843751800,1],
 
606
[843778800,1],
 
607
[843804300,1],
 
608
[843822000,1],
 
609
[843838200,1],
 
610
[843865500,1],
 
611
[843890700,1],
 
612
[843908400,1],
 
613
[843924600,1],
 
614
[843951600,1],
 
615
[843978000,1],
 
616
[843994800,1],
 
617
[844011000,1],
 
618
[844038000,1],
 
619
[844063500,1],
 
620
[844081200,1],
 
621
[844102800,1],
 
622
[844125000,1],
 
623
[844149900,1],
 
624
[844189200,1],
 
625
[844210800,1],
 
626
[844236900,1],
 
627
[844254000,1],
 
628
[844275600,1],
 
629
[844297200,1],
 
630
[844322700,1],
 
631
[844340400,1],
 
632
[844362000,1],
 
633
[844383600,1],
 
634
[844409100,1],
 
635
[844426800,1],
 
636
[844448400,1],
 
637
[844469100,1],
 
638
[844495500,1],
 
639
[844513200,1],
 
640
[844534800,1],
 
641
[844556400,1],
 
642
[844581900,1],
 
643
[844599600,1],
 
644
[844621200,1],
 
645
[844642800,1],
 
646
[844668300,1],
 
647
[844686000,1],
 
648
[844707600,1],
 
649
[844731000,1],
 
650
[844754700,1],
 
651
[844772400,1],
 
652
[844794000,1],
 
653
[844815600,1],
 
654
[844841100,1],
 
655
[844858800,1],
 
656
[844880400,1],
 
657
[844902000,1],
 
658
[844927500,1],
 
659
[844946700,1],
 
660
[844966800,1],
 
661
[844988400,1],
 
662
[845013900,1],
 
663
[845033100,1],
 
664
[845053200,1],
 
665
[845079600,1],
 
666
[845100300,1],
 
667
[845119500,1],
 
668
[845139600,1],
 
669
[845161200,1],
 
670
[845186700,1],
 
671
[845204400,1],
 
672
[845226000,1],
 
673
[845247600,1],
 
674
[845253900,1],
 
675
[845273100,1],
 
676
[845290800,1],
 
677
[845312400,1],
 
678
[845334000,1],
 
679
[845359500,1],
 
680
[845377200,1],
 
681
[845398800,1],
 
682
[845418300,1],
 
683
[845445900,1],
 
684
[845463600,1],
 
685
[845485200,1],
 
686
[845506800,1],
 
687
[845532300,1],
 
688
[845550000,1],
 
689
[845571600,1],
 
690
[845593200,1],
 
691
[845618700,1],
 
692
[845636400,1],
 
693
[845658000,1],
 
694
[845705100,1],
 
695
[845744400,1],
 
696
[845766000,1],
 
697
[845792400,1],
 
698
[845809200,1],
 
699
[845852400,1],
 
700
[845877900,1],
 
701
[845899500,1],
 
702
[845944200,1],
 
703
[845964300,1],
 
704
[845982000,1],
 
705
[846003600,1],
 
706
[846030300,1],
 
707
[846050700,1],
 
708
[846068400,1],
 
709
[846090000,1],
 
710
[846111900,1],
 
711
[846137100,1],
 
712
[846151200,1],
 
713
[846176400,1],
 
714
[846198000,1],
 
715
[846223500,1],
 
716
[846241200,1],
 
717
[846255600,1],
 
718
[846286200,1],
 
719
[846310200,1],
 
720
[846327600,1],
 
721
[846349200,1],
 
722
[846376800,1],
 
723
[846407100,1],
 
724
[846424800,1],
 
725
[846445800,1],
 
726
[846460800,1],
 
727
[846488100,1],
 
728
[846505800,1],
 
729
[846526200,1],
 
730
[846547800,1],
 
731
[846576300,1],
 
732
[846593400,1],
 
733
[846616800,1],
 
734
[846633600,1],
 
735
[846657900,1],
 
736
[846676800,1],
 
737
[846698400,1],
 
738
[846720000,1],
 
739
[846744300,1],
 
740
[846763200,1],
 
741
[846784800,1],
 
742
[846806400,1],
 
743
[846831600,1],
 
744
[846849600,1],
 
745
[846871200,1],
 
746
[846892800,1],
 
747
[846918300,1],
 
748
[846936000,1],
 
749
[846958800,1],
 
750
[846981000,1],
 
751
[847004700,1],
 
752
[847022400,1],
 
753
[847044000,1],
 
754
[847065600,1],
 
755
[847091100,1],
 
756
[847108800,1],
 
757
[847130400,1],
 
758
[847147500,1],
 
759
[847220400,1],
 
760
[847221600,1],
 
761
[847241100,1],
 
762
[847263900,1],
 
763
[847281600,1],
 
764
[847303200,1],
 
765
[847325100,1],
 
766
[847350300,1],
 
767
[847368000,1],
 
768
[847389600,1],
 
769
[847411200,1],
 
770
[847477500,1],
 
771
[847478100,1],
 
772
[847481100,1],
 
773
[847497600,1],
 
774
[847523100,1],
 
775
[847540800,1],
 
776
[847562400,1],
 
777
[847586400,1],
 
778
[847591200,1],
 
779
[847596000,1],
 
780
[847601400,1],
 
781
[847625400,1],
 
782
[847657200,1],
 
783
[847662000,1],
 
784
[847666800,1],
 
785
[847671600,1],
 
786
[847676400,1],
 
787
[847679400,1],
 
788
[847711800,1],
 
789
[847741200,1],
 
790
[847757100,1],
 
791
[847782300,1],
 
792
[847800000,1],
 
793
[847821900,1],
 
794
[847843200,1],
 
795
[847868700,1],
 
796
[847886400,1],
 
797
[847908000,1],
 
798
[847927200,1],
 
799
[847932300,1],
 
800
[847955100,1],
 
801
[847972800,1],
 
802
[847994400,1],
 
803
[848023200,1],
 
804
[848041500,1],
 
805
[848061000,1],
 
806
[848082000,1],
 
807
[848112000,1],
 
808
[848127900,1],
 
809
[848149500,1],
 
810
[848169600,1],
 
811
[848196000,1],
 
812
[848214300,1],
 
813
[848255700,1],
 
814
[848283000,1],
 
815
[848300700,1],
 
816
[848318400,1],
 
817
[848340000,1],
 
818
[848361600,1],
 
819
[848388300,1],
 
820
[848405400,1],
 
821
[848426400,1],
 
822
[848447400,1],
 
823
[848473500,1],
 
824
[848491200,1],
 
825
[848512800,1],
 
826
[848538300,1],
 
827
[848543700,1],
 
828
[848556900,1],
 
829
[848577600,1],
 
830
[848598000,1],
 
831
[848601300,1],
 
832
[848604900,1],
 
833
[848616900,1],
 
834
[848645100,1],
 
835
[848664900,1],
 
836
[848686200,1],
 
837
[848689500,1],
 
838
[848712600,1],
 
839
[848715900,1],
 
840
[848719800,1],
 
841
[848758500,1],
 
842
[848771700,1],
 
843
[848780100,1],
 
844
[848802300,1],
 
845
[848807100,1],
 
846
[848810400,1],
 
847
[848831400,1],
 
848
[848845800,1],
 
849
[848859000,1],
 
850
[848867400,1],
 
851
[848874900,1],
 
852
[848905500,1],
 
853
[848923200,1],
 
854
[848944800,1],
 
855
[848966400,1],
 
856
[848992500,1],
 
857
[849009600,1],
 
858
[849031200,1],
 
859
[849052500,1],
 
860
[849078300,1],
 
861
[849096000,1],
 
862
[849117600,1],
 
863
[849139200,1],
 
864
[849164700,1],
 
865
[849182400,1],
 
866
[849204000,1],
 
867
[849225600,1],
 
868
[849251100,1],
 
869
[849268800,1],
 
870
[849290400,1],
 
871
[849312000,1],
 
872
[849337500,1],
 
873
[849355200,1],
 
874
[849376800,1],
 
875
[849398400,1],
 
876
[849423900,1],
 
877
[849441600,1],
 
878
[849463200,1],
 
879
[849484800,1],
 
880
[849510300,1],
 
881
[849528000,1],
 
882
[849549600,1],
 
883
[849571200,1],
 
884
[849596700,1],
 
885
[849636000,1],
 
886
[849657600,1],
 
887
[849683700,1],
 
888
[849700800,1],
 
889
[849741000,1],
 
890
[849747000,1],
 
891
[849753600,1],
 
892
[849769500,1],
 
893
[849787200,1],
 
894
[849810900,1],
 
895
[849830400,1],
 
896
[849855900,1],
 
897
[849873900,1],
 
898
[849895200,1],
 
899
[849915000,1],
 
900
[849942300,1],
 
901
[849960000,1],
 
902
[849981600,1],
 
903
[850003200,1],
 
904
[850028700,1],
 
905
[850046400,1],
 
906
[850068000,1],
 
907
[850089600,1],
 
908
[850115100,1],
 
909
[850132800,1],
 
910
[850154400,1],
 
911
[850176300,1],
 
912
[850201500,1],
 
913
[850219200,1],
 
914
[850240800,1],
 
915
[850262400,1],
 
916
[850287900,1],
 
917
[850305600,1],
 
918
[850348800,1],
 
919
[850374300,1],
 
920
[850392000,1],
 
921
[850413600,1],
 
922
[850435800,1],
 
923
[850460700,1],
 
924
[850478400,1],
 
925
[850500000,1],
 
926
[850524300,1],
 
927
[850547100,1],
 
928
[850564800,1],
 
929
[850586400,1],
 
930
[850611300,1],
 
931
[850633500,1],
 
932
[850651200,1],
 
933
[850672800,1],
 
934
[850694400,1],
 
935
[850737600,1],
 
936
[850759200,1],
 
937
[850780800,1],
 
938
[850806300,1],
 
939
[850824000,1],
 
940
[850845600,1],
 
941
[850867200,1],
 
942
[850892700,1],
 
943
[850910400,1],
 
944
[850932000,1],
 
945
[850953300,1],
 
946
[850979100,1],
 
947
[850996800,1],
 
948
[851018700,1],
 
949
[851065500,1],
 
950
[851083200,1],
 
951
[851117700,1],
 
952
[851126400,1],
 
953
[851151900,1],
 
954
[851169600,1],
 
955
[851190600,1],
 
956
[851213700,1],
 
957
[851233200,1],
 
958
[851299200,1],
 
959
[851463000,1],
 
960
[851638500,1],
 
961
[851819400,1],
 
962
[851843100,1],
 
963
[851891700,1],
 
964
[851904000,1],
 
965
[851929800,1],
 
966
[851947200,1],
 
967
[851968800,1],
 
968
[851992500,1],
 
969
[852016200,1],
 
970
[852033600,1],
 
971
[852055200,1],
 
972
[852076800,1],
 
973
[852102300,1],
 
974
[852120000,1],
 
975
[852141600,1],
 
976
[852163200,1],
 
977
[852188700,1],
 
978
[852206400,1],
 
979
[852228000,1],
 
980
[852248400,1],
 
981
[852275100,1],
 
982
[852292800,1],
 
983
[852314400,1],
 
984
[852946500,1],
 
985
[852966300,1],
 
986
[852984000,1],
 
987
[853005600,1],
 
988
[853027200,1],
 
989
[853052700,1],
 
990
[853092000,1],
 
991
[853113600,1],
 
992
[853139100,1],
 
993
[853156800,1],
 
994
[853178400,1],
 
995
[853200000,1],
 
996
[853242300,1],
 
997
[853268100,1],
 
998
[853285500,1],
 
999
[853290300,1],
 
1000
[853297200,1],
 
1001
[853314600,1],
 
1002
[853329600,1],
 
1003
[853351200,1],
 
1004
[853369500,1],
 
1005
[853414200,1],
 
1006
[853452000,1],
 
1007
[853457400,1],
 
1008
[853488300,1],
 
1009
[853502400,1],
 
1010
[853524300,1],
 
1011
[853545600,1],
 
1012
[853569600,1],
 
1013
[853587300,1],
 
1014
[853609500,1],
 
1015
[853631100,1],
 
1016
[853656300,1],
 
1017
[853675200,1],
 
1018
[853696800,1],
 
1019
[853719000,1],
 
1020
[853743900,1],
 
1021
[853761600,1],
 
1022
[853784100,1],
 
1023
[853830300,1],
 
1024
[853848000,1],
 
1025
[853869600,1],
 
1026
[853891200,1],
 
1027
[853916700,1],
 
1028
[853934400,1],
 
1029
[853956000,1],
 
1030
[853977600,1],
 
1031
[854003100,1],
 
1032
[854020800,1],
 
1033
[854042400,1],
 
1034
[854064000,1],
 
1035
[854089500,1],
 
1036
[854107200,1],
 
1037
[854128800,1],
 
1038
[854150400,1],
 
1039
[854175900,1],
 
1040
[854193600,1],
 
1041
[854215200,1],
 
1042
[854236800,1],
 
1043
[854262300,1],
 
1044
[854280000,1],
 
1045
[854301600,1],
 
1046
[854321100,1],
 
1047
[854348700,1],
 
1048
[854366400,1],
 
1049
[854388000,1],
 
1050
[854409600,1],
 
1051
[854435100,1],
 
1052
[854452800,1],
 
1053
[854474400,1],
 
1054
[854496000,1],
 
1055
[854521500,1],
 
1056
[854539200,1],
 
1057
[854560800,1],
 
1058
[854582400,1],
 
1059
[854607900,1],
 
1060
[854625600,1],
 
1061
[854647200,1],
 
1062
[854668800,1],
 
1063
[854694300,1],
 
1064
[854712000,1],
 
1065
[854733600,1],
 
1066
[854762700,1],
 
1067
[854781300,1],
 
1068
[854799300,1],
 
1069
[854820000,1],
 
1070
[854841600,1],
 
1071
[854867100,1],
 
1072
[854884800,1],
 
1073
[854906400,1],
 
1074
[854928000,1],
 
1075
[854953500,1],
 
1076
[854971200,1],
 
1077
[854992800,1],
 
1078
[855014400,1],
 
1079
[855039900,1],
 
1080
[855057600,1],
 
1081
[855079200,1],
 
1082
[855101700,1],
 
1083
[855126300,1],
 
1084
[855144000,1],
 
1085
[855165600,1],
 
1086
[855187200,1],
 
1087
[855212700,1],
 
1088
[855223200,1],
 
1089
[855252000,1],
 
1090
[855273600,1],
 
1091
[855299100,1],
 
1092
[855316800,1],
 
1093
[855338400,1],
 
1094
[855360600,1],
 
1095
[855385500,1],
 
1096
[855403200,1],
 
1097
[855424800,1],
 
1098
[855446400,1],
 
1099
[855471900,1],
 
1100
[855489600,1],
 
1101
[855511200,1],
 
1102
[855532800,1],
 
1103
[855558300,1],
 
1104
[855576000,1],
 
1105
[855596700,1],
 
1106
[855602100,1],
 
1107
[855605100,1],
 
1108
[855607200,1],
 
1109
[855609600,1],
 
1110
[855611700,1],
 
1111
[855613800,1],
 
1112
[855616200,1],
 
1113
[855618300,1],
 
1114
[855621900,1],
 
1115
[855625500,1],
 
1116
[855626400,1],
 
1117
[855627600,1],
 
1118
[855628200,1],
 
1119
[855629700,1],
 
1120
[855630300,1],
 
1121
[855631500,1],
 
1122
[855632400,1],
 
1123
[855633600,1],
 
1124
[855634800,1],
 
1125
[855635400,1],
 
1126
[855636600,1],
 
1127
[855637500,1],
 
1128
[855638700,1],
 
1129
[855639600,1],
 
1130
[855640500,1],
 
1131
[855642300,1],
 
1132
[855643200,1],
 
1133
[855643800,1],
 
1134
[855644700,1],
 
1135
[855645900,1],
 
1136
[855646800,1],
 
1137
[855648000,1],
 
1138
[855649200,1],
 
1139
[855649800,1],
 
1140
[855651000,1],
 
1141
[855651900,1],
 
1142
[855653100,1],
 
1143
[855654000,1],
 
1144
[855655200,1],
 
1145
[855656400,1],
 
1146
[855657000,1],
 
1147
[855658200,1],
 
1148
[855660000,1],
 
1149
[855660300,1],
 
1150
[855661200,1],
 
1151
[855662100,1],
 
1152
[855663900,1],
 
1153
[855664800,1],
 
1154
[855665400,1],
 
1155
[855666300,1],
 
1156
[855667500,1],
 
1157
[855668400,1],
 
1158
[855669600,1],
 
1159
[855670800,1],
 
1160
[855671400,1],
 
1161
[855672600,1],
 
1162
[855673500,1],
 
1163
[855674700,1],
 
1164
[855675600,1],
 
1165
[855676800,1],
 
1166
[855678000,1],
 
1167
[855678600,1],
 
1168
[855679800,1],
 
1169
[855680700,1],
 
1170
[855681900,1],
 
1171
[855682800,1],
 
1172
[855683700,1],
 
1173
[855685500,1],
 
1174
[855686400,1],
 
1175
[855687000,1],
 
1176
[855687900,1],
 
1177
[855689100,1],
 
1178
[855690000,1],
 
1179
[855691200,1],
 
1180
[855692400,1],
 
1181
[855693000,1],
 
1182
[855694200,1],
 
1183
[855699600,1],
 
1184
[855716700,1],
 
1185
[855717900,1],
 
1186
[855718800,1],
 
1187
[855720000,1],
 
1188
[855721200,1],
 
1189
[855721800,1],
 
1190
[855723000,1],
 
1191
[855723900,1],
 
1192
[855725100,1],
 
1193
[855726000,1],
 
1194
[855726900,1],
 
1195
[855728700,1],
 
1196
[855729600,1],
 
1197
[855730200,1],
 
1198
[855731100,1],
 
1199
[855732300,1],
 
1200
[855733200,1],
 
1201
[855734400,1],
 
1202
[855735600,1],
 
1203
[855736200,1],
 
1204
[855737400,1],
 
1205
[855738300,1],
 
1206
[855739500,1],
 
1207
[855740400,1],
 
1208
[855741600,1],
 
1209
[855742800,1],
 
1210
[855743400,1],
 
1211
[855744600,1],
 
1212
[855746400,1],
 
1213
[855746700,1],
 
1214
[855747600,1],
 
1215
[855748500,1],
 
1216
[855750300,1],
 
1217
[855751200,1],
 
1218
[855751800,1],
 
1219
[855752700,1],
 
1220
[855753900,1],
 
1221
[855754800,1],
 
1222
[855756000,1],
 
1223
[855757200,1],
 
1224
[855757800,1],
 
1225
[855759000,1],
 
1226
[855759900,1],
 
1227
[855761100,1],
 
1228
[855762000,1],
 
1229
[855763200,1],
 
1230
[855764400,1],
 
1231
[855765000,1],
 
1232
[855766200,1],
 
1233
[855767100,1],
 
1234
[855768300,1],
 
1235
[855769200,1],
 
1236
[855770100,1],
 
1237
[855771900,1],
 
1238
[855772800,1],
 
1239
[855773400,1],
 
1240
[855774300,1],
 
1241
[855775500,1],
 
1242
[855776400,1],
 
1243
[855777600,1],
 
1244
[855778800,1],
 
1245
[855779400,1],
 
1246
[855780600,1],
 
1247
[855783600,1],
 
1248
[855786000,1],
 
1249
[855789900,1],
 
1250
[855791700,1],
 
1251
[855793500,1],
 
1252
[855794400,1],
 
1253
[855796200,1],
 
1254
[855797100,1],
 
1255
[855798000,1],
 
1256
[855799200,1],
 
1257
[855800400,1],
 
1258
[855801000,1],
 
1259
[855802200,1],
 
1260
[855803100,1],
 
1261
[855804300,1],
 
1262
[855805200,1],
 
1263
[855806400,1],
 
1264
[855807600,1],
 
1265
[855808200,1],
 
1266
[855809400,1],
 
1267
[855810300,1],
 
1268
[855811500,1],
 
1269
[855812400,1],
 
1270
[855813300,1],
 
1271
[855815100,1],
 
1272
[855816000,1],
 
1273
[855816600,1],
 
1274
[855817500,1],
 
1275
[855818700,1],
 
1276
[855819600,1],
 
1277
[855820800,1],
 
1278
[855822000,1],
 
1279
[855822600,1],
 
1280
[855823800,1],
 
1281
[855824700,1],
 
1282
[855825900,1],
 
1283
[855826800,1],
 
1284
[855828000,1],
 
1285
[855829200,1],
 
1286
[855829800,1],
 
1287
[855831000,1],
 
1288
[855832800,1],
 
1289
[855833100,1],
 
1290
[855834000,1],
 
1291
[855834900,1],
 
1292
[855836700,1],
 
1293
[855837600,1],
 
1294
[855838200,1],
 
1295
[855839100,1],
 
1296
[855840300,1],
 
1297
[855841200,1],
 
1298
[855842400,1],
 
1299
[855843600,1],
 
1300
[855844200,1],
 
1301
[855845400,1],
 
1302
[855846300,1],
 
1303
[855847500,1],
 
1304
[855848400,1],
 
1305
[855849600,1],
 
1306
[855850800,1],
 
1307
[855851400,1],
 
1308
[855852600,1],
 
1309
[855853500,1],
 
1310
[855854700,1],
 
1311
[855855600,1],
 
1312
[855856500,1],
 
1313
[855858300,1],
 
1314
[855859200,1],
 
1315
[855859800,1],
 
1316
[855860700,1],
 
1317
[855861900,1],
 
1318
[855862800,1],
 
1319
[855864000,1],
 
1320
[855865200,1],
 
1321
[855865800,1],
 
1322
[855867000,1],
 
1323
[855870000,1],
 
1324
[855871200,1],
 
1325
[855872700,1],
 
1326
[855873000,1],
 
1327
[855874200,1],
 
1328
[855875100,1],
 
1329
[855876300,1],
 
1330
[855877200,1],
 
1331
[855878700,1],
 
1332
[855880200,1],
 
1333
[855881400,1],
 
1334
[855882600,1],
 
1335
[855884400,1],
 
1336
[855885300,1],
 
1337
[855887400,1],
 
1338
[855887700,1],
 
1339
[855888600,1],
 
1340
[855889500,1],
 
1341
[855890700,1],
 
1342
[855891600,1],
 
1343
[855892800,1],
 
1344
[855893400,1],
 
1345
[855894600,1],
 
1346
[855895800,1],
 
1347
[855896700,1],
 
1348
[855897900,1],
 
1349
[855898800,1],
 
1350
[855900300,1],
 
1351
[855901800,1],
 
1352
[855903000,1],
 
1353
[855903900,1],
 
1354
[855905100,1],
 
1355
[855906000,1],
 
1356
[855907200,1],
 
1357
[855907800,1],
 
1358
[855909000,1],
 
1359
[855910200,1],
 
1360
[855911100,1],
 
1361
[855912300,1],
 
1362
[855913200,1],
 
1363
[855914400,1],
 
1364
[855915000,1],
 
1365
[855916200,1],
 
1366
[855917400,1],
 
1367
[855919200,1],
 
1368
[855919500,1],
 
1369
[855920400,1],
 
1370
[855921900,1],
 
1371
[855923400,1],
 
1372
[855924600,1],
 
1373
[855925500,1],
 
1374
[855926700,1],
 
1375
[855927600,1],
 
1376
[855928800,1],
 
1377
[855929400,1],
 
1378
[855930600,1],
 
1379
[855932400,1],
 
1380
[855933000,1],
 
1381
[855933900,1],
 
1382
[855934800,1],
 
1383
[855936000,1],
 
1384
[855936600,1],
 
1385
[855937800,1],
 
1386
[855939000,1],
 
1387
[855939900,1],
 
1388
[855941100,1],
 
1389
[855942000,1],
 
1390
[855943500,1],
 
1391
[855945000,1],
 
1392
[855946200,1],
 
1393
[855947100,1],
 
1394
[855948300,1],
 
1395
[855949200,1],
 
1396
[855950400,1],
 
1397
[855954300,1],
 
1398
[855955500,1],
 
1399
[855956400,1],
 
1400
[855957600,1],
 
1401
[855958500,1],
 
1402
[855960600,1],
 
1403
[855961800,1],
 
1404
[855962700,1],
 
1405
[855963600,1],
 
1406
[855965100,1],
 
1407
[855966600,1],
 
1408
[855967800,1],
 
1409
[855968700,1],
 
1410
[855969900,1],
 
1411
[855971700,1],
 
1412
[855972300,1],
 
1413
[855975000,1],
 
1414
[855975900,1],
 
1415
[855977100,1],
 
1416
[855978000,1],
 
1417
[855979200,1],
 
1418
[855979800,1],
 
1419
[855981000,1],
 
1420
[855982200,1],
 
1421
[855983100,1],
 
1422
[855984300,1],
 
1423
[855985200,1],
 
1424
[855986700,1],
 
1425
[855988200,1],
 
1426
[855989400,1],
 
1427
[855990300,1],
 
1428
[855991500,1],
 
1429
[855992400,1],
 
1430
[855993600,1],
 
1431
[855994200,1],
 
1432
[855995400,1],
 
1433
[855996600,1],
 
1434
[855997500,1],
 
1435
[855998700,1],
 
1436
[855999600,1],
 
1437
[856000800,1],
 
1438
[856001400,1],
 
1439
[856002600,1],
 
1440
[856003800,1],
 
1441
[856005600,1],
 
1442
[856005900,1],
 
1443
[856006800,1],
 
1444
[856009800,1],
 
1445
[856011000,1],
 
1446
[856011900,1],
 
1447
[856013100,1],
 
1448
[856014000,1],
 
1449
[856015200,1],
 
1450
[856015800,1],
 
1451
[856017000,1],
 
1452
[856018800,1],
 
1453
[856019400,1],
 
1454
[856020300,1],
 
1455
[856021200,1],
 
1456
[856022400,1],
 
1457
[856023000,1],
 
1458
[856024200,1],
 
1459
[856025400,1],
 
1460
[856026300,1],
 
1461
[856027500,1],
 
1462
[856028400,1],
 
1463
[856029900,1],
 
1464
[856031400,1],
 
1465
[856032600,1],
 
1466
[856033500,1],
 
1467
[856034700,1],
 
1468
[856035600,1],
 
1469
[856036800,1],
 
1470
[856037400,1],
 
1471
[856038600,1],
 
1472
[856039800,1],
 
1473
[856040700,1],
 
1474
[856041900,1],
 
1475
[856042800,1],
 
1476
[856044000,1],
 
1477
[856044900,1],
 
1478
[856047000,1],
 
1479
[856047900,1],
 
1480
[856049100,1],
 
1481
[856050000,1],
 
1482
[856051800,1],
 
1483
[856053600,1],
 
1484
[856054500,1],
 
1485
[856056300,1],
 
1486
[856057200,1],
 
1487
[856058400,1],
 
1488
[856059300,1],
 
1489
[856060200,1],
 
1490
[856061400,1],
 
1491
[856062300,1],
 
1492
[856063800,1],
 
1493
[856064100,1],
 
1494
[856064700,1],
 
1495
[856065600,1],
 
1496
[856066500,1],
 
1497
[856067100,1],
 
1498
[856069500,1],
 
1499
[856070700,1],
 
1500
[856071600,1],
 
1501
[856073100,1],
 
1502
[856074600,1],
 
1503
[856075800,1],
 
1504
[856076700,1],
 
1505
[856077900,1],
 
1506
[856078800,1],
 
1507
[856080000,1],
 
1508
[856080600,1],
 
1509
[856081800,1],
 
1510
[856083000,1],
 
1511
[856083900,1],
 
1512
[856085100,1],
 
1513
[856086000,1],
 
1514
[856087200,1],
 
1515
[856087800,1],
 
1516
[856089000,1],
 
1517
[856090200,1],
 
1518
[856092000,1],
 
1519
[856092300,1],
 
1520
[856093200,1],
 
1521
[856094700,1],
 
1522
[856096200,1],
 
1523
[856097400,1],
 
1524
[856098300,1],
 
1525
[856099500,1],
 
1526
[856100400,1],
 
1527
[856101600,1],
 
1528
[856102200,1],
 
1529
[856103400,1],
 
1530
[856104600,1],
 
1531
[856105500,1],
 
1532
[856106700,1],
 
1533
[856107600,1],
 
1534
[856108800,1],
 
1535
[856109400,1],
 
1536
[856110600,1],
 
1537
[856111800,1],
 
1538
[856112700,1],
 
1539
[856113900,1],
 
1540
[856114800,1],
 
1541
[856116300,1],
 
1542
[856117800,1],
 
1543
[856119000,1],
 
1544
[856119900,1],
 
1545
[856121100,1],
 
1546
[856122000,1],
 
1547
[856123200,1],
 
1548
[856125000,1],
 
1549
[856126200,1],
 
1550
[856127100,1],
 
1551
[856128300,1],
 
1552
[856129200,1],
 
1553
[856130400,1],
 
1554
[856131300,1],
 
1555
[856133400,1],
 
1556
[856134300,1],
 
1557
[856135500,1],
 
1558
[856136400,1],
 
1559
[856137900,1],
 
1560
[856139400,1],
 
1561
[856140600,1],
 
1562
[856145700,1],
 
1563
[856146900,1],
 
1564
[856147800,1],
 
1565
[856148700,1],
 
1566
[856149900,1],
 
1567
[856150800,1],
 
1568
[856152000,1],
 
1569
[856152600,1],
 
1570
[856153800,1],
 
1571
[856155000,1],
 
1572
[856155900,1],
 
1573
[856157100,1],
 
1574
[856158000,1],
 
1575
[856159500,1],
 
1576
[856161000,1],
 
1577
[856162200,1],
 
1578
[856163100,1],
 
1579
[856164300,1],
 
1580
[856165200,1],
 
1581
[856166400,1],
 
1582
[856167000,1],
 
1583
[856168200,1],
 
1584
[856169400,1],
 
1585
[856170300,1],
 
1586
[856171500,1],
 
1587
[856172400,1],
 
1588
[856173600,1],
 
1589
[856174200,1],
 
1590
[856175400,1],
 
1591
[856176600,1],
 
1592
[856178400,1],
 
1593
[856178700,1],
 
1594
[856179600,1],
 
1595
[856181100,1],
 
1596
[856182600,1],
 
1597
[856183800,1],
 
1598
[856184700,1],
 
1599
[856185900,1],
 
1600
[856186800,1],
 
1601
[856188000,1],
 
1602
[856188600,1],
 
1603
[856189800,1],
 
1604
[856191000,1],
 
1605
[856191900,1],
 
1606
[856193100,1],
 
1607
[856194000,1],
 
1608
[856195200,1],
 
1609
[856195800,1],
 
1610
[856197000,1],
 
1611
[856198200,1],
 
1612
[856199100,1],
 
1613
[856200300,1],
 
1614
[856201200,1],
 
1615
[856202700,1],
 
1616
[856204200,1],
 
1617
[856205400,1],
 
1618
[856206300,1],
 
1619
[856207500,1],
 
1620
[856208400,1],
 
1621
[856209600,1],
 
1622
[856210500,1],
 
1623
[856211700,1],
 
1624
[856212600,1],
 
1625
[856213500,1],
 
1626
[856214700,1],
 
1627
[856215600,1],
 
1628
[856216800,1],
 
1629
[856218900,1],
 
1630
[856220700,1],
 
1631
[856222800,1],
 
1632
[856224300,1],
 
1633
[856225800,1],
 
1634
[856227000,1],
 
1635
[856228200,1],
 
1636
[856229100,1],
 
1637
[856230000,1],
 
1638
[856231200,1],
 
1639
[856232100,1],
 
1640
[856233300,1],
 
1641
[856234200,1],
 
1642
[856235400,1],
 
1643
[856236300,1],
 
1644
[856237200,1],
 
1645
[856238400,1],
 
1646
[856239000,1],
 
1647
[856240200,1],
 
1648
[856241400,1],
 
1649
[856242600,1],
 
1650
[856243500,1],
 
1651
[856244400,1],
 
1652
[856245900,1],
 
1653
[856247400,1],
 
1654
[856248600,1],
 
1655
[856249500,1],
 
1656
[856250700,1],
 
1657
[856251600,1],
 
1658
[856252800,1],
 
1659
[856253400,1],
 
1660
[856254600,1],
 
1661
[856255800,1],
 
1662
[856256700,1],
 
1663
[856257900,1],
 
1664
[856258800,1],
 
1665
[856260000,1],
 
1666
[856260600,1],
 
1667
[856262400,1],
 
1668
[856263000,1],
 
1669
[856264800,1],
 
1670
[856265100,1],
 
1671
[856266000,1],
 
1672
[856267500,1],
 
1673
[856269300,1],
 
1674
[856270200,1],
 
1675
[856271100,1],
 
1676
[856272300,1],
 
1677
[856273200,1],
 
1678
[856274400,1],
 
1679
[856275000,1],
 
1680
[856276200,1],
 
1681
[856277400,1],
 
1682
[856278300,1],
 
1683
[856279500,1],
 
1684
[856280400,1],
 
1685
[856281600,1],
 
1686
[856282200,1],
 
1687
[856283400,1],
 
1688
[856284600,1],
 
1689
[856285500,1],
 
1690
[856286700,1],
 
1691
[856287600,1],
 
1692
[856289100,1],
 
1693
[856290600,1],
 
1694
[856291800,1],
 
1695
[856292700,1],
 
1696
[856293900,1],
 
1697
[856294800,1],
 
1698
[856296000,1],
 
1699
[856296900,1],
 
1700
[856298100,1],
 
1701
[856299000,1],
 
1702
[856299900,1],
 
1703
[856301100,1],
 
1704
[856302000,1],
 
1705
[856303200,1],
 
1706
[856304100,1],
 
1707
[856306200,1],
 
1708
[856307100,1],
 
1709
[856308300,1],
 
1710
[856309200,1],
 
1711
[856310700,1],
 
1712
[856312500,1],
 
1713
[856313400,1],
 
1714
[856314000,1],
 
1715
[856315800,1],
 
1716
[856317300,1],
 
1717
[856318800,1],
 
1718
[856319700,1],
 
1719
[856320600,1],
 
1720
[856321500,1],
 
1721
[856322700,1],
 
1722
[856323600,1],
 
1723
[856324800,1],
 
1724
[856325400,1],
 
1725
[856326600,1],
 
1726
[856327800,1],
 
1727
[856328700,1],
 
1728
[856329900,1],
 
1729
[856330800,1],
 
1730
[856332300,1],
 
1731
[856333800,1],
 
1732
[856335000,1],
 
1733
[856335900,1],
 
1734
[856337100,1],
 
1735
[856338000,1],
 
1736
[856339200,1],
 
1737
[856339800,1],
 
1738
[856341000,1],
 
1739
[856342200,1],
 
1740
[856343100,1],
 
1741
[856344300,1],
 
1742
[856345200,1],
 
1743
[856346400,1],
 
1744
[856347000,1],
 
1745
[856348200,1],
 
1746
[856349400,1],
 
1747
[856351200,1],
 
1748
[856351500,1],
 
1749
[856352400,1],
 
1750
[856353900,1],
 
1751
[856355400,1],
 
1752
[856356600,1],
 
1753
[856357500,1],
 
1754
[856358700,1],
 
1755
[856359600,1],
 
1756
[856360800,1],
 
1757
[856361400,1],
 
1758
[856362600,1],
 
1759
[856363800,1],
 
1760
[856365000,1],
 
1761
[856365900,1],
 
1762
[856366800,1],
 
1763
[856368000,1],
 
1764
[856368600,1],
 
1765
[856369800,1],
 
1766
[856371000,1],
 
1767
[856371900,1],
 
1768
[856373100,1],
 
1769
[856374000,1],
 
1770
[856375500,1],
 
1771
[856377000,1],
 
1772
[856378200,1],
 
1773
[856379100,1],
 
1774
[856380300,1],
 
1775
[856381200,1],
 
1776
[856382400,1],
 
1777
[856383300,1],
 
1778
[856384500,1],
 
1779
[856385400,1],
 
1780
[856386300,1],
 
1781
[856387500,1],
 
1782
[856388400,1],
 
1783
[856389600,1],
 
1784
[856390800,1],
 
1785
[856392600,1],
 
1786
[856393800,1],
 
1787
[856395300,1],
 
1788
[856397100,1],
 
1789
[856398900,1],
 
1790
[856399800,1],
 
1791
[856400700,1],
 
1792
[856401000,1],
 
1793
[856401900,2],
 
1794
[856402800,1],
 
1795
[856404000,1],
 
1796
[856405200,1],
 
1797
[856406100,1],
 
1798
[856407000,1],
 
1799
[856407900,1],
 
1800
[856409100,1],
 
1801
[856410000,1],
 
1802
[856411200,1],
 
1803
[856411800,1],
 
1804
[856413300,1],
 
1805
[856414800,1],
 
1806
[856415100,1],
 
1807
[856416300,1],
 
1808
[856417200,1],
 
1809
[856418700,1],
 
1810
[856420200,1],
 
1811
[856422300,1],
 
1812
[856423500,1],
 
1813
[856424400,1],
 
1814
[856425600,1],
 
1815
[856426200,1],
 
1816
[856427400,1],
 
1817
[856428600,1],
 
1818
[856429500,1],
 
1819
[856430700,1],
 
1820
[856431600,1],
 
1821
[856432800,1],
 
1822
[856433400,1],
 
1823
[856434600,1],
 
1824
[856435800,1],
 
1825
[856437600,1],
 
1826
[856437900,1],
 
1827
[856438800,1],
 
1828
[856440300,1],
 
1829
[856441800,1],
 
1830
[856443000,1],
 
1831
[856445100,1],
 
1832
[856446000,1],
 
1833
[856447200,1],
 
1834
[856447800,1],
 
1835
[856449000,1],
 
1836
[856450200,1],
 
1837
[856451100,1],
 
1838
[856452300,1],
 
1839
[856453200,1],
 
1840
[856454400,1],
 
1841
[856455000,1],
 
1842
[856456200,1],
 
1843
[856457400,1],
 
1844
[856458300,1],
 
1845
[856459500,1],
 
1846
[856460400,1],
 
1847
[856461900,1],
 
1848
[856463400,1],
 
1849
[856464600,1],
 
1850
[856465500,1],
 
1851
[856466700,1],
 
1852
[856467600,1],
 
1853
[856468800,1],
 
1854
[856469700,1],
 
1855
[856470900,1],
 
1856
[856471800,1],
 
1857
[856473300,1],
 
1858
[856540800,1],
 
1859
[856570200,1],
 
1860
[856591500,1],
 
1861
[856613100,1],
 
1862
[856634700,1],
 
1863
[856677900,1],
 
1864
[856699500,1],
 
1865
[856721100,1],
 
1866
[856764300,1],
 
1867
[856785900,1],
 
1868
[856807500,1],
 
1869
[856829100,1],
 
1870
[856850700,1],
 
1871
[856872300,1],
 
1872
[856893900,1],
 
1873
[856915500,1],
 
1874
[856937100,1],
 
1875
[856958700,1],
 
1876
[856980300,1],
 
1877
[857001900,1],
 
1878
[857023500,1],
 
1879
[857045100,1],
 
1880
[857066700,1],
 
1881
[857088300,1],
 
1882
[857109900,1],
 
1883
[857131500,1],
 
1884
[857153100,1],
 
1885
[857174700,1],
 
1886
[857217900,1],
 
1887
[857239500,1],
 
1888
[857261100,1],
 
1889
[857282700,1],
 
1890
[857304300,1],
 
1891
[857325900,1],
 
1892
[857347500,1],
 
1893
[857369100,1],
 
1894
[857390700,1],
 
1895
[857412300,1],
 
1896
[857439000,1],
 
1897
[857455500,1],
 
1898
[857477100,1],
 
1899
[857498700,1],
 
1900
[857521200,1],
 
1901
[857541900,1],
 
1902
[857563500,1],
 
1903
[857585100,1],
 
1904
[857606700,1],
 
1905
[857628300,1],
 
1906
[857649900,1],
 
1907
[857671500,1],
 
1908
[857693400,1],
 
1909
[857714700,1],
 
1910
[857736300,1],
 
1911
[857757900,1],
 
1912
[857779800,1],
 
1913
[857801100,1],
 
1914
[857822700,1],
 
1915
[857844300,1],
 
1916
[857866200,1],
 
1917
[857887800,1],
 
1918
[857909100,1],
 
1919
[857930700,1],
 
1920
[857952600,1],
 
1921
[857973900,1],
 
1922
[857995500,1],
 
1923
[858017100,1],
 
1924
[858037200,1],
 
1925
[858060300,1],
 
1926
[858081900,1],
 
1927
[858103500,1],
 
1928
[858126600,1],
 
1929
[858146700,1],
 
1930
[858168300,1],
 
1931
[858189900,1],
 
1932
[858211500,1],
 
1933
[858233100,1],
 
1934
[858254700,1],
 
1935
[858276300,1],
 
1936
[858297900,1],
 
1937
[858305700,1],
 
1938
[858319500,1],
 
1939
[858341100,1],
 
1940
[858362700,1],
 
1941
[858388500,1],
 
1942
[858389100,1],
 
1943
[858405900,1],
 
1944
[858449100,1],
 
1945
[858470700,1],
 
1946
[858492300,1],
 
1947
[858513900,1],
 
1948
[858535500,1],
 
1949
[858557100,1],
 
1950
[858578700,1],
 
1951
[858600300,1],
 
1952
[858621900,1],
 
1953
[858644100,1],
 
1954
[858665100,1],
 
1955
[858686700,1],
 
1956
[858708300,1],
 
1957
[858735600,1],
 
1958
[858813900,1],
 
1959
[858831300,1],
 
1960
[858852300,1],
 
1961
[858863100,1],
 
1962
[858873900,1],
 
1963
[858892200,1],
 
1964
[858899100,1],
 
1965
[858915600,1],
 
1966
[859251000,1],
 
1967
[859269900,1],
 
1968
[859291500,1],
 
1969
[859313100,1],
 
1970
[859335600,1],
 
1971
[859356300,1],
 
1972
[859377900,1],
 
1973
[859399500,1],
 
1974
[859421100,1],
 
1975
[859442700,1],
 
1976
[859464300,1],
 
1977
[859485900,1],
 
1978
[859507500,1],
 
1979
[859529100,1],
 
1980
[859550700,1],
 
1981
[859572300,1],
 
1982
[859600800,1],
 
1983
[859615500,1],
 
1984
[859637100,1],
 
1985
[859659600,1],
 
1986
[859680900,1],
 
1987
[859701900,1],
 
1988
[859723500,1],
 
1989
[859745100,1],
 
1990
[859766700,1],
 
1991
[859788300,1],
 
1992
[859809900,1],
 
1993
[859831500,1],
 
1994
[860036700,1],
 
1995
[860202000,1],
 
1996
[860456100,1],
 
1997
[860633100,1],
 
1998
[860782200,1],
 
1999
[860795100,1],
 
2000
[860843100,1],
 
2001
[860864700,1],
 
2002
[860877300,1],
 
2003
[861060000,1],
 
2004
[861080700,1],
 
2005
[861102300,1],
 
2006
[861123900,1],
 
2007
[861146100,1],
 
2008
[861167100,1],
 
2009
[861188700,1],
 
2010
[861210300,1],
 
2011
[861232500,1],
 
2012
[861253500,1],
 
2013
[861275100,1],
 
2014
[861296700,1],
 
2015
[861321000,1],
 
2016
[861339900,1],
 
2017
[861361500,1],
 
2018
[861383100,1],
 
2019
[861405300,1],
 
2020
[861426300,1],
 
2021
[861447900,1],
 
2022
[861469500,1],
 
2023
[861491700,1],
 
2024
[861512700,1],
 
2025
[861534300,1],
 
2026
[861555900,1],
 
2027
[861577500,1],
 
2028
[861599100,1],
 
2029
[861620700,1],
 
2030
[861642300,1],
 
2031
[861663900,1],
 
2032
[861685500,1],
 
2033
[861707100,1],
 
2034
[861728700,1],
 
2035
[861750300,1],
 
2036
[861771900,1],
 
2037
[861793500,1],
 
2038
[861815100,1],
 
2039
[861858300,1],
 
2040
[861879900,1],
 
2041
[861901500,1],
 
2042
[861918300,1],
 
2043
[861966300,1],
 
2044
[861987900,1],
 
2045
[862010700,1],
 
2046
[862031100,1],
 
2047
[862052700,1],
 
2048
[862074300,1],
 
2049
[862096500,1],
 
2050
[862117500,1],
 
2051
[862139100,1],
 
2052
[862160700,1],
 
2053
[862182300,1],
 
2054
[862203900,1],
 
2055
[862225500,1],
 
2056
[862247100,1],
 
2057
[862268700,1],
 
2058
[862290300,1],
 
2059
[862311900,1],
 
2060
[862335300,1],
 
2061
[862355100,1],
 
2062
[862376700,1],
 
2063
[862398300,1],
 
2064
[862419900,1],
 
2065
[862441500,1],
 
2066
[862463100,1],
 
2067
[862484700,1],
 
2068
[862506300,1],
 
2069
[862527900,1],
 
2070
[862549200,1],
 
2071
[862571100,1],
 
2072
[862592700,1],
 
2073
[862612200,1],
 
2074
[862635900,1],
 
2075
[862657500,1],
 
2076
[862679100,1],
 
2077
[862700400,1],
 
2078
[862722300,1],
 
2079
[862743900,1],
 
2080
[862765500,1],
 
2081
[862787100,1],
 
2082
[862808700,1],
 
2083
[862830300,1],
 
2084
[862851900,1],
 
2085
[862873500,1],
 
2086
[862895100,1],
 
2087
[862916700,1],
 
2088
[862938300,1],
 
2089
[862960800,1],
 
2090
[862981500,1],
 
2091
[863003100,1],
 
2092
[863024700,1],
 
2093
[863047200,1],
 
2094
[863067900,1],
 
2095
[863089500,1],
 
2096
[863111100,1],
 
2097
[863133900,1],
 
2098
[863154300,1],
 
2099
[863175900,1],
 
2100
[863197500,1],
 
2101
[863219100,1],
 
2102
[863240700,1],
 
2103
[863262300,1],
 
2104
[863283900,1],
 
2105
[863305500,1],
 
2106
[863327100,1],
 
2107
[863348700,1],
 
2108
[863370300,1],
 
2109
[863391900,1],
 
2110
[863413500,1],
 
2111
[863435100,1],
 
2112
[863456700,1],
 
2113
[863479800,1],
 
2114
[863521500,1],
 
2115
[863543100,1],
 
2116
[863564700,1],
 
2117
[863586300,1],
 
2118
[863607900,1],
 
2119
[863629500,1],
 
2120
[863651100,1],
 
2121
[863672700,1],
 
2122
[863694300,1],
 
2123
[863715900,1],
 
2124
[863737500,1],
 
2125
[863741100,1],
 
2126
[863759100,1],
 
2127
[863780700,1],
 
2128
[863802300,1],
 
2129
[863823900,1],
 
2130
[863903100,1],
 
2131
[863910300,1],
 
2132
[863931900,1],
 
2133
[863953500,1],
 
2134
[863975100,1],
 
2135
[863996700,1],
 
2136
[864018300,1],
 
2137
[864039900,1],
 
2138
[864061500,1],
 
2139
[864080700,1],
 
2140
[864104700,1],
 
2141
[864126300,1],
 
2142
[864147900,1],
 
2143
[864169500,1],
 
2144
[864191100,1],
 
2145
[864212700,1],
 
2146
[864234300,1],
 
2147
[864258300,1],
 
2148
[864277500,1],
 
2149
[864299100,1],
 
2150
[864320700,1],
 
2151
[864342300,1],
 
2152
[864363900,1],
 
2153
[864385500,1],
 
2154
[864407100,1],
 
2155
[864427800,1],
 
2156
[864450300,1],
 
2157
[864471900,1],
 
2158
[864493500,1],
 
2159
[864515100,1],
 
2160
[864536700,1],
 
2161
[864558300,1],
 
2162
[864579900,1],
 
2163
[864602100,1],
 
2164
[864623100,1],
 
2165
[864644700,1],
 
2166
[864666300,1],
 
2167
[864687900,1],
 
2168
[864709500,1],
 
2169
[864731100,1],
 
2170
[864752700,1],
 
2171
[864774300,1],
 
2172
[864795900,1],
 
2173
[864817500,1],
 
2174
[864839100,1],
 
2175
[864860700,1],
 
2176
[864882300,1],
 
2177
[864903900,1],
 
2178
[864925500,1],
 
2179
[864948000,1],
 
2180
[864968700,1],
 
2181
[864990300,1],
 
2182
[865011900,1],
 
2183
[865033200,1],
 
2184
[865055100,1],
 
2185
[865076700,1],
 
2186
[865098300,1],
 
2187
[865120800,1],
 
2188
[865141500,1],
 
2189
[865163100,1],
 
2190
[865184700,1],
 
2191
[865206300,1],
 
2192
[865227900,1],
 
2193
[865249500,1],
 
2194
[865271100,1],
 
2195
[865293300,1],
 
2196
[865314300,1],
 
2197
[865335900,1],
 
2198
[865357500,1],
 
2199
[865379700,1],
 
2200
[865400700,1],
 
2201
[865422300,1],
 
2202
[865447500,1],
 
2203
[865467300,1],
 
2204
[865487100,1],
 
2205
[865508700,1],
 
2206
[865533900,1],
 
2207
[865573500,1],
 
2208
[865595100,1],
 
2209
[865616700,1],
 
2210
[865638000,1],
 
2211
[865659900,1],
 
2212
[865681500,1],
 
2213
[865703100,1],
 
2214
[865724700,1],
 
2215
[865746300,1],
 
2216
[865767900,1],
 
2217
[865789500,1],
 
2218
[865811100,1],
 
2219
[865832700,1],
 
2220
[865854300,1],
 
2221
[865874100,1],
 
2222
[865897500,1],
 
2223
[865919100,1],
 
2224
[865940700,1],
 
2225
[865962300,1],
 
2226
[865983900,1],
 
2227
[866005500,1],
 
2228
[866027100,1],
 
2229
[866048700,1],
 
2230
[866070300,1],
 
2231
[866091900,1],
 
2232
[866113500,1],
 
2233
[866134500,1],
 
2234
[866178300,1],
 
2235
[866199900,1],
 
2236
[866221500,1],
 
2237
[866243100,1],
 
2238
[866264700,1],
 
2239
[866286300,1],
 
2240
[866307900,1],
 
2241
[866329500,1],
 
2242
[866351100,1],
 
2243
[866372700,1],
 
2244
[866394300,1],
 
2245
[866415900,1],
 
2246
[866437500,1],
 
2247
[866459100,1],
 
2248
[866480700,1],
 
2249
[866502900,1],
 
2250
[866523900,1],
 
2251
[866545500,1],
 
2252
[866567100,1],
 
2253
[866588700,1],
 
2254
[866610300,1],
 
2255
[866631900,1],
 
2256
[866653500,1],
 
2257
[866675100,1],
 
2258
[866696700,1],
 
2259
[866718300,1],
 
2260
[866739900,1],
 
2261
[866761500,1],
 
2262
[866783100,1],
 
2263
[866804700,1],
 
2264
[866826300,1],
 
2265
[866847900,1],
 
2266
[866869500,1],
 
2267
[866891100,1],
 
2268
[866912700,1],
 
2269
[866934300,1],
 
2270
[866955900,1],
 
2271
[866977500,1],
 
2272
[866999100,1],
 
2273
[867020700,1],
 
2274
[867042300,1],
 
2275
[867063900,1],
 
2276
[867085500,1],
 
2277
[867107100,1],
 
2278
[867132300,1],
 
2279
[867150000,1],
 
2280
[867171600,1],
 
2281
[867193200,1],
 
2282
[867218700,1],
 
2283
[867236400,1],
 
2284
[867258000,1],
 
2285
[867279600,1],
 
2286
[867305100,1],
 
2287
[867322800,1],
 
2288
[867344400,1],
 
2289
[867387900,1],
 
2290
[867409500,1],
 
2291
[867431100,1],
 
2292
[867452700,1],
 
2293
[867474300,1],
 
2294
[867495900,1],
 
2295
[867517500,1],
 
2296
[867539100,1],
 
2297
[867560700,1],
 
2298
[867582300,1],
 
2299
[867603900,1],
 
2300
[867622800,1],
 
2301
[867647100,1],
 
2302
[867668700,1],
 
2303
[867690300,1],
 
2304
[867711900,1],
 
2305
[867733500,1],
 
2306
[867781200,1],
 
2307
[867798300,1],
 
2308
[867819900,1],
 
2309
[867841500,1],
 
2310
[867863100,1],
 
2311
[867906300,1],
 
2312
[867927900,1],
 
2313
[867949500,1],
 
2314
[867971100,1],
 
2315
[867992700,1],
 
2316
[868014300,1],
 
2317
[868035900,1],
 
2318
[868057500,1],
 
2319
[868079100,1],
 
2320
[868100700,1],
 
2321
[868122300,1],
 
2322
[868143900,1],
 
2323
[868165500,1],
 
2324
[868187100,1],
 
2325
[868208700,1],
 
2326
[868230300,1],
 
2327
[868251900,1],
 
2328
[868273500,1],
 
2329
[868295100,1],
 
2330
[868316700,1],
 
2331
[868338300,1],
 
2332
[868359900,1],
 
2333
[868381500,1],
 
2334
[868403100,1],
 
2335
[868424700,1],
 
2336
[868446300,1],
 
2337
[868467900,1],
 
2338
[868489500,1],
 
2339
[868511100,1],
 
2340
[868532700,1],
 
2341
[868554600,1],
 
2342
[868575900,1],
 
2343
[868597500,1],
 
2344
[868619100,1],
 
2345
[868640700,1],
 
2346
[868657200,1],
 
2347
[868832400,1],
 
2348
[869007900,1],
 
2349
[869029500,1],
 
2350
[869051100,1],
 
2351
[869072700,1],
 
2352
[869094300,1],
 
2353
[869115900,1],
 
2354
[869137500,1],
 
2355
[869182500,1],
 
2356
[869202300,1],
 
2357
[869223900,1],
 
2358
[869245500,1],
 
2359
[869565900,1],
 
2360
[869805900,1],
 
2361
[869810700,1],
 
2362
[870152700,1],
 
2363
[870174300,1],
 
2364
[870195900,1],
 
2365
[870216000,1],
 
2366
[870239100,1],
 
2367
[870260700,1],
 
2368
[870282300,1],
 
2369
[870306900,1],
 
2370
[870325500,1],
 
2371
[870347100,1],
 
2372
[870368700,1],
 
2373
[870390300,1],
 
2374
[870411900,1],
 
2375
[870433500,1],
 
2376
[870455100,1],
 
2377
[870476700,1],
 
2378
[870498300,1],
 
2379
[870519900,1],
 
2380
[870541500,1],
 
2381
[870563100,1],
 
2382
[870584700,1],
 
2383
[870606300,1],
 
2384
[870627900,1],
 
2385
[870649500,1],
 
2386
[870671100,1],
 
2387
[870692700,1],
 
2388
[870711600,1],
 
2389
[870740400,1],
 
2390
[870757800,1],
 
2391
[870780000,1],
 
2392
[870801900,1],
 
2393
[870823200,1],
 
2394
[870846300,1],
 
2395
[870868500,1],
 
2396
[870896700,1],
 
2397
[870905100,1],
 
2398
[870908700,1],
 
2399
[870912300,1],
 
2400
[870917700,1],
 
2401
[870923100,1],
 
2402
[870930600,1],
 
2403
[870951900,1],
 
2404
[870977100,1],
 
2405
[870993000,1],
 
2406
[870996300,1],
 
2407
[870998400,1],
 
2408
[871004100,1],
 
2409
[871008900,1],
 
2410
[871017000,1],
 
2411
[871039200,1],
 
2412
[871060500,1],
 
2413
[871077000,1],
 
2414
[871086900,1],
 
2415
[871091700,1],
 
2416
[871096200,1],
 
2417
[871103100,1],
 
2418
[871124700,1],
 
2419
[871146300,1],
 
2420
[871167900,1],
 
2421
[871189500,1],
 
2422
[871211100,1],
 
2423
[871232700,1],
 
2424
[871254300,1],
 
2425
[871275900,1],
 
2426
[871297500,1],
 
2427
[871319100,1],
 
2428
[871337100,1],
 
2429
[871337700,1],
 
2430
[871338300,1],
 
2431
[871339200,1],
 
2432
[871362300,1],
 
2433
[871383900,1],
 
2434
[871405500,1],
 
2435
[871419300,1],
 
2436
[871419900,1],
 
2437
[871420500,1],
 
2438
[871427100,1],
 
2439
[871448700,1],
 
2440
[871470300,1],
 
2441
[871491900,1],
 
2442
[871500600,1],
 
2443
[871501200,1],
 
2444
[871501800,1],
 
2445
[871508100,1],
 
2446
[871535100,1],
 
2447
[871556700,1],
 
2448
[871578300,1],
 
2449
[871599900,1],
 
2450
[871621500,1],
 
2451
[871643100,1],
 
2452
[871664700,1],
 
2453
[871683600,1],
 
2454
[871718700,1],
 
2455
[871733100,1],
 
2456
[871755300,1],
 
2457
[871774500,1],
 
2458
[871794300,1],
 
2459
[871815900,1],
 
2460
[871838100,1],
 
2461
[871859100,1],
 
2462
[871880700,1],
 
2463
[871902300,1],
 
2464
[871924500,1],
 
2465
[871945500,1],
 
2466
[871967100,1],
 
2467
[871988700,1],
 
2468
[872010300,1],
 
2469
[872031900,1],
 
2470
[872053500,1],
 
2471
[872075100,1],
 
2472
[872096700,1],
 
2473
[872118300,1],
 
2474
[872139900,1],
 
2475
[872161500,1],
 
2476
[872183100,1],
 
2477
[872204400,1],
 
2478
[872226300,1],
 
2479
[872247900,1],
 
2480
[872291100,1],
 
2481
[872312700,1],
 
2482
[872334300,1],
 
2483
[872355900,1],
 
2484
[872377200,1],
 
2485
[872399100,1],
 
2486
[872420700,1],
 
2487
[872442300,1],
 
2488
[872463900,1],
 
2489
[872485500,1],
 
2490
[872507100,1],
 
2491
[872528700,1],
 
2492
[872543100,1],
 
2493
[872547300,1],
 
2494
[872549100,1],
 
2495
[872550900,1],
 
2496
[872553000,1],
 
2497
[872571900,1],
 
2498
[872593500,1],
 
2499
[872615100,1],
 
2500
[872637300,1],
 
2501
[872658300,1],
 
2502
[872679900,1],
 
2503
[872701500,1],
 
2504
[872723100,1],
 
2505
[872745600,1],
 
2506
[872766300,1],
 
2507
[872787900,1],
 
2508
[872809500,1],
 
2509
[872818500,1],
 
2510
[872819700,1],
 
2511
[872831100,1],
 
2512
[872852700,1],
 
2513
[872874300,1],
 
2514
[872895900,1],
 
2515
[872917500,1],
 
2516
[872939100,1],
 
2517
[872960700,1],
 
2518
[872982300,1],
 
2519
[873003900,1],
 
2520
[873025500,1],
 
2521
[873047100,1],
 
2522
[873068700,1],
 
2523
[873093600,1],
 
2524
[873111900,1],
 
2525
[873136500,1],
 
2526
[873157200,1],
 
2527
[873181200,1],
 
2528
[873205200,1],
 
2529
[873223200,1],
 
2530
[873241500,1],
 
2531
[873263100,1],
 
2532
[873284700,1],
 
2533
[873295200,1],
 
2534
[873296100,1],
 
2535
[873306300,1],
 
2536
[873326700,1],
 
2537
[873371400,1],
 
2538
[873383100,1],
 
2539
[873396000,1],
 
2540
[873414300,1],
 
2541
[873435900,1],
 
2542
[873457500,1],
 
2543
[873479100,1],
 
2544
[873500700,1],
 
2545
[873522300,1],
 
2546
[873543900,1],
 
2547
[873565500,1],
 
2548
[873598800,1],
 
2549
[873610200,1],
 
2550
[873630300,1],
 
2551
[873651900,1],
 
2552
[873693600,1],
 
2553
[873716700,1],
 
2554
[873738300,1],
 
2555
[873782700,1],
 
2556
[873804600,1],
 
2557
[873825900,1],
 
2558
[873846300,1],
 
2559
[873868200,1],
 
2560
[873890700,1],
 
2561
[873912000,1],
 
2562
[873932700,1],
 
2563
[873954300,1],
 
2564
[873977100,1],
 
2565
[873998400,1],
 
2566
[874019100,1],
 
2567
[874041900,1],
 
2568
[874063500,1],
 
2569
[874084800,1],
 
2570
[874105500,1],
 
2571
[874127400,1],
 
2572
[874149600,1],
 
2573
[874170600,1],
 
2574
[874191900,1],
 
2575
[874213500,1],
 
2576
[874236000,1],
 
2577
[874256700,1],
 
2578
[874282800,1],
 
2579
[874299900,1],
 
2580
[874321500,1],
 
2581
[874343100,1],
 
2582
[874360200,1],
 
2583
[874364700,1],
 
2584
[874386300,1],
 
2585
[874407900,1],
 
2586
[874429500,1],
 
2587
[874445400,1],
 
2588
[874449300,1],
 
2589
[874472700,1],
 
2590
[874494300,1],
 
2591
[874515900,1],
 
2592
[874533300,1],
 
2593
[874559100,1],
 
2594
[874580700,1],
 
2595
[874602300,1],
 
2596
[874623900,1],
 
2597
[874645500,1],
 
2598
[874667100,1],
 
2599
[874688700,1],
 
2600
[874710300,1],
 
2601
[874731900,1],
 
2602
[874753500,1],
 
2603
[874775100,1],
 
2604
[874796700,1],
 
2605
[874818300,1],
 
2606
[874839900,1],
 
2607
[874861500,1],
 
2608
[874880400,1],
 
2609
[874904700,1],
 
2610
[874926300,1],
 
2611
[874947900,1],
 
2612
[874969500,1],
 
2613
[874991100,1],
 
2614
[875012700,1],
 
2615
[875034300,1],
 
2616
[875055900,1],
 
2617
[875077500,1],
 
2618
[875099100,1],
 
2619
[875120700,1],
 
2620
[875142300,1],
 
2621
[875163900,1],
 
2622
[875185500,1],
 
2623
[875207100,1],
 
2624
[875228700,1],
 
2625
[875253000,1],
 
2626
[875271900,1],
 
2627
[875293500,1],
 
2628
[875316600,1],
 
2629
[875503200,1],
 
2630
[875614500,1],
 
2631
[875617800,1],
 
2632
[875639100,1],
 
2633
[875671500,1],
 
2634
[875682300,1],
 
2635
[875703900,1],
 
2636
[875725500,1],
 
2637
[875739900,1],
 
2638
[875741400,1],
 
2639
[875741700,1],
 
2640
[875742300,1],
 
2641
[875742600,1],
 
2642
[875743200,1],
 
2643
[875743500,1],
 
2644
[875744100,1],
 
2645
[875744400,1],
 
2646
[875745000,1],
 
2647
[875745300,1],
 
2648
[875745900,1],
 
2649
[875746200,1],
 
2650
[875746800,1],
 
2651
[875747400,1],
 
2652
[875768700,1],
 
2653
[875790300,1],
 
2654
[875811900,1],
 
2655
[875838000,1],
 
2656
[875855100,1],
 
2657
[875876700,1],
 
2658
[875898300,1],
 
2659
[875920200,1],
 
2660
[875941500,1],
 
2661
[875963100,1],
 
2662
[875984700,1],
 
2663
[876006300,1],
 
2664
[876027900,1],
 
2665
[876049500,1],
 
2666
[876071100,1],
 
2667
[876092700,1],
 
2668
[876114300,1],
 
2669
[876136500,1],
 
2670
[876158400,1],
 
2671
[876179100,1],
 
2672
[876200700,1],
 
2673
[876222300,1],
 
2674
[876243900,1],
 
2675
[876265500,1],
 
2676
[876287100,1],
 
2677
[876308700,1],
 
2678
[876330300,1],
 
2679
[876351900,1],
 
2680
[876373500,1],
 
2681
[876395100,1],
 
2682
[876416700,1],
 
2683
[876438600,1],
 
2684
[876459900,1],
 
2685
[876481500,1],
 
2686
[876503100,1],
 
2687
[876518700,1],
 
2688
[876525000,1],
 
2689
[876546300,1],
 
2690
[876567900,1],
 
2691
[876589500,1],
 
2692
[876611100,1],
 
2693
[876632700,1],
 
2694
[876654300,1],
 
2695
[876675900,1],
 
2696
[876697500,1],
 
2697
[876719100,1],
 
2698
[876740700,1],
 
2699
[876762300,1],
 
2700
[876783900,1],
 
2701
[876805500,1],
 
2702
[876827100,1],
 
2703
[876848700,1],
 
2704
[876868500,1],
 
2705
[876891900,1],
 
2706
[876913500,1],
 
2707
[876999900,1],
 
2708
[877021500,1],
 
2709
[877039800,1],
 
2710
[877041000,1],
 
2711
[877041600,1],
 
2712
[877041900,1],
 
2713
[877042200,1],
 
2714
[877042800,1],
 
2715
[877043100,1],
 
2716
[877043700,1],
 
2717
[877044000,1],
 
2718
[877044600,1],
 
2719
[877044900,1],
 
2720
[877045500,1],
 
2721
[877045800,1],
 
2722
[877046700,1],
 
2723
[877064700,1],
 
2724
[877086300,1],
 
2725
[877107900,1],
 
2726
[877129500,1],
 
2727
[877151100,1],
 
2728
[877172700,1],
 
2729
[877194300,1],
 
2730
[877215900,1],
 
2731
[877237800,1],
 
2732
[877260000,1],
 
2733
[877281900,1],
 
2734
[877302300,1],
 
2735
[877464600,1],
 
2736
[877647000,1],
 
2737
[877676700,1],
 
2738
[877742700,1],
 
2739
[877912500,1],
 
2740
[877976700,1],
 
2741
[877997400,1],
 
2742
[878018700,1],
 
2743
[878061900,1],
 
2744
[878083500,1],
 
2745
[878105100,1],
 
2746
[878126700,1],
 
2747
[878148300,1],
 
2748
[878191500,1],
 
2749
[878213100,1],
 
2750
[878234700,1],
 
2751
[878257200,1],
 
2752
[878277900,1],
 
2753
[878299500,1],
 
2754
[878321100,1],
 
2755
[878342700,1],
 
2756
[878364300,1],
 
2757
[878385900,1],
 
2758
[878407500,1],
 
2759
[878429100,1],
 
2760
[878450700,1],
 
2761
[878472300,1],
 
2762
[878493900,1],
 
2763
[878515500,1],
 
2764
[878537100,1],
 
2765
[878558700,1],
 
2766
[878580300,1],
 
2767
[878607000,1],
 
2768
[878623500,1],
 
2769
[878645100,1],
 
2770
[878666700,1],
 
2771
[878691000,1],
 
2772
[878709900,1],
 
2773
[878731500,1],
 
2774
[878753100,1],
 
2775
[878774700,1],
 
2776
[878796300,1],
 
2777
[878817900,1],
 
2778
[878839500,1],
 
2779
[879037800,1],
 
2780
[879193800,1],
 
2781
[879211800,1],
 
2782
[879228600,1],
 
2783
[879252300,1],
 
2784
[879271500,1],
 
2785
[879294600,1],
 
2786
[879314700,1],
 
2787
[879338400,1],
 
2788
[879358200,1],
 
2789
[879384000,1],
 
2790
[879401400,1],
 
2791
[879425400,1],
 
2792
[879445500,1],
 
2793
[879465600,1],
 
2794
[879487800,1],
 
2795
[879511500,1],
 
2796
[879533700,1],
 
2797
[879552000,1],
 
2798
[879573900,1],
 
2799
[879595500,1],
 
2800
[879617100,1],
 
2801
[879638700,1],
 
2802
[879660300,1],
 
2803
[879681900,1],
 
2804
[879703500,1],
 
2805
[879725100,1],
 
2806
[879746700,1],
 
2807
[879768300,1],
 
2808
[879789900,1],
 
2809
[879811500,1],
 
2810
[879833100,1],
 
2811
[879854700,1],
 
2812
[879876300,1],
 
2813
[879897900,1],
 
2814
[879899100,1],
 
2815
[879919500,1],
 
2816
[879941100,1],
 
2817
[879962700,1],
 
2818
[880157100,1],
 
2819
[880178700,1],
 
2820
[880200600,1],
 
2821
[880221900,1],
 
2822
[880243500,1],
 
2823
[880265100,1],
 
2824
[880287300,1],
 
2825
[880308300,1],
 
2826
[880329900,1],
 
2827
[880351500,1],
 
2828
[880374600,1],
 
2829
[880395000,1],
 
2830
[880437900,1],
 
2831
[880459500,1],
 
2832
[880481100,1],
 
2833
[880502700,1],
 
2834
[880524300,1],
 
2835
[880545900,1],
 
2836
[880567500,1],
 
2837
[880589100,1],
 
2838
[880610700,1],
 
2839
[880632300,1],
 
2840
[880653900,1],
 
2841
[880675500,1],
 
2842
[880697400,1],
 
2843
[880719300,1],
 
2844
[880761900,1],
 
2845
[881129100,1],
 
2846
[881150700,1],
 
2847
[881172300,1],
 
2848
[881193900,1],
 
2849
[881215500,1],
 
2850
[881237100,1],
 
2851
[881258700,1],
 
2852
[881280300,1],
 
2853
[881301900,1],
 
2854
[881323500,1],
 
2855
[881345100,1],
 
2856
[881388300,1],
 
2857
[881431500,1],
 
2858
[881453100,1],
 
2859
[881474700,1],
 
2860
[881496300,1],
 
2861
[881517900,1],
 
2862
[881539500,1],
 
2863
[881561100,1],
 
2864
[881582700,1],
 
2865
[881604300,1],
 
2866
[881625900,1],
 
2867
[881647500,1],
 
2868
[881669100,1],
 
2869
[881690700,1],
 
2870
[881712300,1],
 
2871
[881733900,1],
 
2872
[881755500,1],
 
2873
[881776200,1],
 
2874
[881798700,1],
 
2875
[881820300,1],
 
2876
[881841900,1],
 
2877
[881863500,1],
 
2878
[881885100,1],
 
2879
[881906700,1],
 
2880
[881928300,1],
 
2881
[881949900,1],
 
2882
[881971800,1],
 
2883
[881993100,1],
 
2884
[882020700,1],
 
2885
[882038400,1],
 
2886
[882057900,1],
 
2887
[882080400,1],
 
2888
[882111000,1],
 
2889
[882128700,1],
 
2890
[882148800,1],
 
2891
[882165900,1],
 
2892
[882187500,1],
 
2893
[882209100,1],
 
2894
[882230700,1],
 
2895
[882252300,1],
 
2896
[882274500,1],
 
2897
[882296700,1],
 
2898
[882318300,1],
 
2899
[882338700,1],
 
2900
[882360900,1],
 
2901
[882383100,1],
 
2902
[882403500,1],
 
2903
[882425100,1],
 
2904
[882447300,1],
 
2905
[882469200,1],
 
2906
[882490200,1],
 
2907
[882511500,1],
 
2908
[882533700,1],
 
2909
[882555900,1],
 
2910
[882588300,1],
 
2911
[882609300,1],
 
2912
[882635700,1],
 
2913
[882660300,1],
 
2914
[882684300,1],
 
2915
[882706500,1],
 
2916
[882728700,1],
 
2917
[882750000,1],
 
2918
[882770700,1],
 
2919
[882792900,1],
 
2920
[882815100,1],
 
2921
[882836100,1],
 
2922
[882998100,1],
 
2923
[883181700,1],
 
2924
[883352100,1],
 
2925
[883605300,1],
 
2926
[883691100,1],
 
2927
[883785900,1],
 
2928
[883807500,1],
 
2929
[883829700,1],
 
2930
[883851900,1],
 
2931
[883873200,1],
 
2932
[883893900,1],
 
2933
[883916100,1],
 
2934
[883938300,1],
 
2935
[883958400,1],
 
2936
[883980300,1],
 
2937
[884003700,1],
 
2938
[884026200,1],
 
2939
[884066700,1],
 
2940
[884088900,1],
 
2941
[884110800,1],
 
2942
[884131500,1],
 
2943
[884153100,1],
 
2944
[884175300,1],
 
2945
[884197800,1],
 
2946
[884217900,1],
 
2947
[884239500,1],
 
2948
[884261700,1],
 
2949
[884283900,1],
 
2950
[884304300,1],
 
2951
[884325900,1],
 
2952
[884348100,1],
 
2953
[884369700,1],
 
2954
[884390700,1],
 
2955
[884412300,1],
 
2956
[884434500,1],
 
2957
[884456700,1],
 
2958
[884478000,1],
 
2959
[884498700,1],
 
2960
[884521500,1],
 
2961
[884543400,1],
 
2962
[884564700,1],
 
2963
[884585700,1],
 
2964
[884607000,1],
 
2965
[884627700,1],
 
2966
[884649900,1],
 
2967
[884671500,1],
 
2968
[884693400,1],
 
2969
[884715000,1],
 
2970
[884736300,1],
 
2971
[884757900,1],
 
2972
[884779800,1],
 
2973
[884797500,1],
 
2974
[884822700,1],
 
2975
[884844900,1],
 
2976
[884866500,1],
 
2977
[884889300,1],
 
2978
[884909100,1],
 
2979
[884931300,1],
 
2980
[884952900,1],
 
2981
[884973900,1],
 
2982
[884995800,1],
 
2983
[885017700,1],
 
2984
[885039900,1],
 
2985
[885062100,1],
 
2986
[885083700,1],
 
2987
[885104700,1],
 
2988
[885127200,1],
 
2989
[885151500,1],
 
2990
[885189900,1],
 
2991
[885211800,1],
 
2992
[885234000,1],
 
2993
[885255000,1],
 
2994
[885276300,1],
 
2995
[885298200,1],
 
2996
[885319200,1],
 
2997
[885341100,1],
 
2998
[885362700,1],
 
2999
[885384600,1],
 
3000
[885407700,1],
 
3001
[885427500,1],
 
3002
[885449100,1],
 
3003
[885471000,1],
 
3004
[885506700,1],
 
3005
[885513900,1],
 
3006
[885535500,1],
 
3007
[885557100,1],
 
3008
[885578700,1],
 
3009
[885600300,1],
 
3010
[885621900,1],
 
3011
[885643500,1],
 
3012
[885665700,1],
 
3013
[885687300,1],
 
3014
[885708600,1],
 
3015
[885731100,1],
 
3016
[885753000,1],
 
3017
[885774300,1],
 
3018
[885795300,1],
 
3019
[885816900,1],
 
3020
[885837600,1],
 
3021
[885859500,1],
 
3022
[885881100,1],
 
3023
[885902700,1],
 
3024
[885924300,1],
 
3025
[885945900,1],
 
3026
[885967500,1],
 
3027
[885989100,1],
 
3028
[886010700,1],
 
3029
[886053900,1],
 
3030
[886075800,1],
 
3031
[886097700,1],
 
3032
[886118700,1],
 
3033
[886140600,1],
 
3034
[886162200,1],
 
3035
[886184100,1],
 
3036
[886205100,1],
 
3037
[886239000,1],
 
3038
[886248900,1],
 
3039
[886270800,1],
 
3040
[886293300,1],
 
3041
[886315500,1],
 
3042
[886338900,1],
 
3043
[886361100,1],
 
3044
[886378500,1],
 
3045
[886399800,1],
 
3046
[886421400,1],
 
3047
[886443600,1],
 
3048
[886464300,1],
 
3049
[886485900,1],
 
3050
[886508100,1],
 
3051
[886530300,1],
 
3052
[886552200,1],
 
3053
[886572300,1],
 
3054
[886617600,1],
 
3055
[886628400,1],
 
3056
[886628700,1],
 
3057
[886629300,2],
 
3058
[886637100,1],
 
3059
[886658700,1],
 
3060
[886680600,1],
 
3061
[886701900,1],
 
3062
[886723500,1],
 
3063
[886745100,1],
 
3064
[886766700,1],
 
3065
[886788300,1],
 
3066
[886809900,1],
 
3067
[886831500,1],
 
3068
[886853100,1],
 
3069
[886874700,1],
 
3070
[886896300,1],
 
3071
[886917900,1],
 
3072
[886939500,1],
 
3073
[886961100,1],
 
3074
[886982700,1],
 
3075
[887004300,1],
 
3076
[887025900,1],
 
3077
[887047500,1],
 
3078
[887069100,1],
 
3079
[887090700,1],
 
3080
[887112300,1],
 
3081
[887133900,1],
 
3082
[887155500,1],
 
3083
[887177100,1],
 
3084
[887198700,1],
 
3085
[887220300,1],
 
3086
[887241900,1],
 
3087
[887263500,1],
 
3088
[887285100,1],
 
3089
[887306700,1],
 
3090
[887328600,1],
 
3091
[887349900,1],
 
3092
[887371500,1],
 
3093
[887393100,1],
 
3094
[887414700,1],
 
3095
[887436300,1],
 
3096
[887457900,1],
 
3097
[887479500,1],
 
3098
[887501100,1],
 
3099
[887522700,1],
 
3100
[887544300,1],
 
3101
[887565900,1],
 
3102
[887587500,1],
 
3103
[887609100,1],
 
3104
[887630700,1],
 
3105
[887652300,1],
 
3106
[887673900,1],
 
3107
[887695500,1],
 
3108
[887717100,1],
 
3109
[887738700,1],
 
3110
[887760300,1],
 
3111
[887781900,1],
 
3112
[887803500,1],
 
3113
[887825100,1],
 
3114
[887846700,1],
 
3115
[887868300,1],
 
3116
[887889900,1],
 
3117
[887911500,1],
 
3118
[887933100,1],
 
3119
[887954700,1],
 
3120
[887976300,1],
 
3121
[887997900,1],
 
3122
[888019500,1],
 
3123
[888041100,1],
 
3124
[888062700,1],
 
3125
[888084300,1],
 
3126
[888105900,1],
 
3127
[888127500,1],
 
3128
[888149100,1],
 
3129
[888170700,1],
 
3130
[888192300,1],
 
3131
[888213900,1],
 
3132
[888235500,1],
 
3133
[888257100,1],
 
3134
[888280500,1],
 
3135
[888300300,1],
 
3136
[888321900,1],
 
3137
[888343500,1],
 
3138
[888365100,1],
 
3139
[888386700,1],
 
3140
[888408300,1],
 
3141
[888429900,1],
 
3142
[888446400,1],
 
3143
[888473100,1],
 
3144
[888494700,1],
 
3145
[888526200,1],
 
3146
[888544800,1],
 
3147
[888581100,1],
 
3148
[888602700,1],
 
3149
[888624300,1],
 
3150
[888645900,1],
 
3151
[888668100,1],
 
3152
[888690600,1],
 
3153
[888712200,1],
 
3154
[888733500,1],
 
3155
[888755700,1],
 
3156
[888778200,1],
 
3157
[888799800,1],
 
3158
[888828000,1],
 
3159
[888840900,1],
 
3160
[888863100,1],
 
3161
[888884100,1],
 
3162
[888905100,1],
 
3163
[888926700,1],
 
3164
[889034100,1],
 
3165
[889065900,1],
 
3166
[889089000,1],
 
3167
[889133100,1],
 
3168
[889140900,1],
 
3169
[889163400,1],
 
3170
[889191600,1],
 
3171
[889253100,1],
 
3172
[889274100,1],
 
3173
[889295700,1],
 
3174
[889322400,1],
 
3175
[889362300,1],
 
3176
[889380300,1],
 
3177
[889401900,1],
 
3178
[889423800,1],
 
3179
[889446000,1],
 
3180
[889468800,1],
 
3181
[889489500,1],
 
3182
[889510200,1],
 
3183
[889532100,1],
 
3184
[889554900,1],
 
3185
[889578600,1],
 
3186
[889596600,1],
 
3187
[889618500,1],
 
3188
[889640700,1],
 
3189
[889661100,1],
 
3190
[889682700,1],
 
3191
[889704300,1],
 
3192
[889725900,1],
 
3193
[889747500,1],
 
3194
[889769100,1],
 
3195
[889790700,1],
 
3196
[889812300,1],
 
3197
[889833900,1],
 
3198
[889855500,1],
 
3199
[889877100,1],
 
3200
[889898700,1],
 
3201
[889920300,1],
 
3202
[889941900,1],
 
3203
[889963800,1],
 
3204
[889985400,1],
 
3205
[890006700,1],
 
3206
[890028300,1],
 
3207
[890049900,1],
 
3208
[890071500,1],
 
3209
[890093100,1],
 
3210
[890114700,1],
 
3211
[890136300,1],
 
3212
[890157900,1],
 
3213
[890179500,1],
 
3214
[890201100,1],
 
3215
[890222700,1],
 
3216
[890244300,1],
 
3217
[890265900,1],
 
3218
[890287500,1],
 
3219
[890309400,1],
 
3220
[890331000,1],
 
3221
[890352300,1],
 
3222
[890373900,1],
 
3223
[890395800,1],
 
3224
[890708700,1],
 
3225
[890719500,1],
 
3226
[890741400,1],
 
3227
[890763000,1],
 
3228
[890806800,1],
 
3229
[890827800,1],
 
3230
[890852700,1],
 
3231
[890889300,1],
 
3232
[890901000,1],
 
3233
[890914500,1],
 
3234
[890940600,1],
 
3235
[890978400,1],
 
3236
[890989800,1],
 
3237
[891003600,1],
 
3238
[891024900,1],
 
3239
[891043500,1],
 
3240
[891065700,1],
 
3241
[891086700,1],
 
3242
[891108900,1],
 
3243
[891129900,1],
 
3244
[891150900,1],
 
3245
[891173700,1],
 
3246
[891194100,1],
 
3247
[891216600,1],
 
3248
[891237900,1],
 
3249
[891258300,1],
 
3250
[891281100,1],
 
3251
[891302700,1],
 
3252
[891325800,1],
 
3253
[891349200,1],
 
3254
[891372600,1],
 
3255
[891393000,1],
 
3256
[891411000,1],
 
3257
[891433500,1],
 
3258
[891456900,1],
 
3259
[891478800,1],
 
3260
[891500700,1],
 
3261
[891523500,1],
 
3262
[891547200,1],
 
3263
[891562500,1],
 
3264
[891585000,1],
 
3265
[891607500,1],
 
3266
[891630900,1],
 
3267
[891652800,1],
 
3268
[891674700,1],
 
3269
[891697200,1],
 
3270
[891721200,1],
 
3271
[891738600,1],
 
3272
[891756900,1],
 
3273
[891775800,1],
 
3274
[891799200,1],
 
3275
[891821100,1],
 
3276
[891843000,1],
 
3277
[891865500,1],
 
3278
[891889500,1],
 
3279
[891903900,1],
 
3280
[891925500,1],
 
3281
[891947700,1],
 
3282
[891969600,1],
 
3283
[891990300,1],
 
3284
[892011900,1],
 
3285
[892034100,1],
 
3286
[892056000,1],
 
3287
[892078800,1],
 
3288
[892099800,1],
 
3289
[892120800,1],
 
3290
[892163100,1],
 
3291
[892184700,1],
 
3292
[892206300,1],
 
3293
[892227900,1],
 
3294
[892551900,1],
 
3295
[892573500,1],
 
3296
[892595100,1],
 
3297
[892616700,1],
 
3298
[892638300,1],
 
3299
[892659900,1],
 
3300
[892703100,1],
 
3301
[892724700,1],
 
3302
[892746300,1],
 
3303
[892767900,1],
 
3304
[892789500,1],
 
3305
[892811100,1],
 
3306
[892832700,1],
 
3307
[892854300,1],
 
3308
[892876800,1],
 
3309
[892898100,1],
 
3310
[892919100,1],
 
3311
[892940700,1],
 
3312
[892962600,1],
 
3313
[892984200,1],
 
3314
[893006400,1],
 
3315
[893028000,1],
 
3316
[893049600,1],
 
3317
[893070900,1],
 
3318
[893092200,1],
 
3319
[893113800,1],
 
3320
[893135700,1],
 
3321
[893158200,1],
 
3322
[893181300,1],
 
3323
[893200500,1],
 
3324
[893243400,1],
 
3325
[893266500,1],
 
3326
[893286300,1],
 
3327
[893307900,1],
 
3328
[893329500,1],
 
3329
[893351100,1],
 
3330
[893372700,1],
 
3331
[893394300,1],
 
3332
[893415900,1],
 
3333
[893437500,1],
 
3334
[893481000,1],
 
3335
[893502900,1],
 
3336
[893524500,1],
 
3337
[893567700,1],
 
3338
[893589600,1],
 
3339
[893611500,1],
 
3340
[893653800,1],
 
3341
[893671500,1],
 
3342
[893696700,1],
 
3343
[893718300,1],
 
3344
[893740500,1],
 
3345
[893761800,1],
 
3346
[893784300,1],
 
3347
[893804700,1],
 
3348
[893869800,1],
 
3349
[893891400,1],
 
3350
[893912700,1],
 
3351
[893934600,1],
 
3352
[893956500,1],
 
3353
[893980800,1],
 
3354
[893999100,1],
 
3355
[894021000,1],
 
3356
[894039300,1],
 
3357
[894067500,1],
 
3358
[894085800,1],
 
3359
[894107400,1],
 
3360
[894129000,1],
 
3361
[894147300,1],
 
3362
[894225300,1],
 
3363
[894237000,1],
 
3364
[894258300,1],
 
3365
[894280200,1],
 
3366
[894301800,1],
 
3367
[894323400,1],
 
3368
[894345000,1],
 
3369
[894366600,1],
 
3370
[894388800,1],
 
3371
[894411000,1],
 
3372
[894431100,1],
 
3373
[894453000,1],
 
3374
[894474900,1],
 
3375
[894496200,1],
 
3376
[894517500,1],
 
3377
[894539400,1],
 
3378
[894559800,1],
 
3379
[894581400,1],
 
3380
[894603900,1],
 
3381
[894625800,1],
 
3382
[894647400,1],
 
3383
[894669000,1],
 
3384
[894690900,1],
 
3385
[894712800,1],
 
3386
[894734700,1],
 
3387
[894755400,1],
 
3388
[894777300,1],
 
3389
[894798600,1],
 
3390
[894820200,1],
 
3391
[894841800,1],
 
3392
[894863400,1],
 
3393
[894885000,1],
 
3394
[894906300,1],
 
3395
[894927900,1],
 
3396
[894949500,1],
 
3397
[894971100,1],
 
3398
[894992700,1],
 
3399
[895014300,1],
 
3400
[895035900,1],
 
3401
[895057500,1],
 
3402
[895079100,1],
 
3403
[895100700,1],
 
3404
[895122300,1],
 
3405
[895143900,1],
 
3406
[895165500,1],
 
3407
[895187100,1],
 
3408
[895208700,1],
 
3409
[895230300,1],
 
3410
[895251900,1],
 
3411
[895273500,1],
 
3412
[895295100,1],
 
3413
[895316700,1],
 
3414
[895338300,1],
 
3415
[895359900,1],
 
3416
[895381500,1],
 
3417
[895403100,1],
 
3418
[895424700,1],
 
3419
[895446300,1],
 
3420
[895467900,1],
 
3421
[895489500,1],
 
3422
[895511100,1],
 
3423
[895532700,1],
 
3424
[895554300,1],
 
3425
[895575900,1],
 
3426
[895597500,1],
 
3427
[895619100,1],
 
3428
[895641000,1],
 
3429
[895662600,1],
 
3430
[895684200,1],
 
3431
[895705800,1],
 
3432
[895727100,1],
 
3433
[895745100,1],
 
3434
[895770300,1],
 
3435
[895792200,1],
 
3436
[895813500,1],
 
3437
[895835400,1],
 
3438
[895857600,1],
 
3439
[895878600,1],
 
3440
[895899900,1],
 
3441
[895922100,1],
 
3442
[895944000,1],
 
3443
[895965000,1],
 
3444
[895986300,1],
 
3445
[896008200,1],
 
3446
[896030100,1],
 
3447
[896051400,1],
 
3448
[896075700,1],
 
3449
[896094600,1],
 
3450
[896116800,1],
 
3451
[896142600,1],
 
3452
[896159100,1],
 
3453
[896181300,1],
 
3454
[896203200,1],
 
3455
[896223900,1],
 
3456
[896245500,1],
 
3457
[896267700,1],
 
3458
[896289900,1],
 
3459
[896310900,1],
 
3460
[896331900,1],
 
3461
[896353500,1],
 
3462
[896375100,1],
 
3463
[896397000,1],
 
3464
[896418300,1],
 
3465
[896440200,1],
 
3466
[896461800,1],
 
3467
[896483400,1],
 
3468
[896504700,1],
 
3469
[896526300,1],
 
3470
[896547900,1],
 
3471
[896569800,1],
 
3472
[896591100,1],
 
3473
[896613000,1],
 
3474
[896634600,1],
 
3475
[896656200,1],
 
3476
[896677500,1],
 
3477
[896699400,1],
 
3478
[896721000,1],
 
3479
[896739900,1],
 
3480
[896763900,1],
 
3481
[896785800,1],
 
3482
[896807400,1],
 
3483
[896829000,1],
 
3484
[896850300,1],
 
3485
[896871900,1],
 
3486
[896893500,1],
 
3487
[896915400,1],
 
3488
[896936700,1],
 
3489
[896958600,1],
 
3490
[896980200,1],
 
3491
[897001500,1],
 
3492
[897023100,1],
 
3493
[897045000,1],
 
3494
[897066600,1],
 
3495
[897088200,1],
 
3496
[897109500,1],
 
3497
[897131100,1],
 
3498
[897152700,1],
 
3499
[897174300,1],
 
3500
[897196200,1],
 
3501
[897217500,1],
 
3502
[897239400,1],
 
3503
[897260700,1],
 
3504
[897282300,1],
 
3505
[897325800,1],
 
3506
[897347100,1],
 
3507
[897368700,1],
 
3508
[897390300,1],
 
3509
[897411900,1],
 
3510
[897433500,1],
 
3511
[897455100,1],
 
3512
[897476700,1],
 
3513
[897498300,1],
 
3514
[897541500,1],
 
3515
[897563100,1],
 
3516
[897584700,1],
 
3517
[897606300,1],
 
3518
[897627900,1],
 
3519
[897649500,1],
 
3520
[897671100,1],
 
3521
[897692700,1],
 
3522
[897714300,1],
 
3523
[897735900,1],
 
3524
[897757500,1],
 
3525
[897779100,1],
 
3526
[897800700,1],
 
3527
[897822300,1],
 
3528
[897843900,1],
 
3529
[897865500,1],
 
3530
[897887100,1],
 
3531
[897908700,1],
 
3532
[897930300,1],
 
3533
[897951900,1],
 
3534
[897973500,1],
 
3535
[897995100,1],
 
3536
[898016700,1],
 
3537
[898038600,1],
 
3538
[898065300,1],
 
3539
[898081500,1],
 
3540
[898103100,1],
 
3541
[898124700,1],
 
3542
[898151700,1],
 
3543
[898167900,1],
 
3544
[898189500,1],
 
3545
[898537800,1],
 
3546
[898578300,1],
 
3547
[898600200,1],
 
3548
[898621800,1],
 
3549
[898643400,1],
 
3550
[898664700,1],
 
3551
[898686300,1],
 
3552
[898729500,1],
 
3553
[908328000,1],
 
3554
[908399400,1],
 
3555
[908435100,1],
 
3556
[908463300,1],
 
3557
[908500200,1],
 
3558
[908535900,1],
 
3559
[908561100,1],
 
3560
[908579100,1],
 
3561
[908600700,1],
 
3562
[908633100,1],
 
3563
[908665200,1],
 
3564
[908687100,1],
 
3565
[908708700,1],
 
3566
[908730300,1],
 
3567
[908751900,1],
 
3568
[908778600,1],
 
3569
[908800200,1],
 
3570
[908822100,1],
 
3571
[908836500,1],
 
3572
[908838300,1],
 
3573
[908839500,1],
 
3574
[908841000,1],
 
3575
[908842500,1],
 
3576
[908844300,1],
 
3577
[908859900,1],
 
3578
[908881500,1],
 
3579
[908903100,1],
 
3580
[908924700,1],
 
3581
[908946300,1],
 
3582
[908967900,1],
 
3583
[908989500,1],
 
3584
[909011100,1],
 
3585
[909097500,1],
 
3586
[909119100,1],
 
3587
[909140700,1],
 
3588
[909162300,1],
 
3589
[909183900,1],
 
3590
[909205500,1],
 
3591
[909227100,1],
 
3592
[909248700,1],
 
3593
[909270300,1],
 
3594
[909295500,1],
 
3595
[909317100,1],
 
3596
[909338700,1],
 
3597
[909360300,1],
 
3598
[909381900,1],
 
3599
[909403500,1],
 
3600
[909425100,1],
 
3601
[909446700,1],
 
3602
[909468300,1],
 
3603
[909489900,1],
 
3604
[909511500,1],
 
3605
[909554700,1],
 
3606
[909576300,1],
 
3607
[909597900,1],
 
3608
[909619500,1],
 
3609
[909641100,1],
 
3610
[909662700,1],
 
3611
[909684300,1],
 
3612
[909705900,1],
 
3613
[909727500,1],
 
3614
[909749100,1],
 
3615
[909770700,1],
 
3616
[909792300,1],
 
3617
[909813900,1],
 
3618
[909835500,1],
 
3619
[909857100,1],
 
3620
[909878700,1],
 
3621
[909900300,1],
 
3622
[909921900,1],
 
3623
[909943800,1],
 
3624
[909965100,1],
 
3625
[909986700,1],
 
3626
[910008300,1],
 
3627
[910037100,1],
 
3628
[910048800,1],
 
3629
[910049700,1],
 
3630
[910055100,1],
 
3631
[910073100,1],
 
3632
[910098300,1],
 
3633
[910116300,1],
 
3634
[910137900,1],
 
3635
[910159500,1],
 
3636
[910181100,1],
 
3637
[910202700,1],
 
3638
[910224300,1],
 
3639
[910292700,1],
 
3640
[910318500,3],
 
3641
[910332300,1],
 
3642
[910353900,1],
 
3643
[910375500,1],
 
3644
[910397100,1],
 
3645
[910418700,1],
 
3646
[910440300,1],
 
3647
[910461900,1],
 
3648
[910483500,1],
 
3649
[910505100,1],
 
3650
[910526700,1],
 
3651
[910548300,1],
 
3652
[910569900,1],
 
3653
[910591500,1],
 
3654
[910613100,1],
 
3655
[910634700,1],
 
3656
[910656300,1],
 
3657
[910677900,1],
 
3658
[910699500,1],
 
3659
[910721100,1],
 
3660
[910742700,1],
 
3661
[910764300,1],
 
3662
[910785900,1],
 
3663
[910807500,1],
 
3664
[910829100,1],
 
3665
[910850700,1],
 
3666
[910872300,1],
 
3667
[910893900,1],
 
3668
[910915500,1],
 
3669
[910937100,1],
 
3670
[910958700,1],
 
3671
[910980300,1],
 
3672
[911001900,1],
 
3673
[911630400,1],
 
3674
[911649900,1],
 
3675
[911671500,1],
 
3676
[911693100,1],
 
3677
[911714700,1],
 
3678
[911736300,1],
 
3679
[911757900,1],
 
3680
[911779500,1],
 
3681
[911801100,1],
 
3682
[911822700,1],
 
3683
[911844300,1],
 
3684
[911865900,1],
 
3685
[911887500,1],
 
3686
[911909400,1],
 
3687
[911932500,1],
 
3688
[911952300,1],
 
3689
[911973900,1],
 
3690
[911995500,1],
 
3691
[912017100,1],
 
3692
[912038700,1],
 
3693
[912060300,1],
 
3694
[912081900,1],
 
3695
[912103500,1],
 
3696
[912125100,1],
 
3697
[912146700,1],
 
3698
[912168300,1],
 
3699
[912189900,1],
 
3700
[912211500,1],
 
3701
[912233100,1],
 
3702
[912254700,1],
 
3703
[912276300,1],
 
3704
[912297900,1],
 
3705
[912319500,1],
 
3706
[912341100,1],
 
3707
[912362700,1],
 
3708
[912384300,1],
 
3709
[912405900,1],
 
3710
[912427500,1],
 
3711
[912449100,1],
 
3712
[912470700,1],
 
3713
[912492300,1],
 
3714
[912513900,1],
 
3715
[912535500,1],
 
3716
[912557100,1],
 
3717
[912578700,1],
 
3718
[912600300,1],
 
3719
[912621900,1],
 
3720
[912643500,1],
 
3721
[912665100,1],
 
3722
[912686700,1],
 
3723
[912708300,1],
 
3724
[912729900,1],
 
3725
[912751500,1],
 
3726
[912773100,1],
 
3727
[912794700,1],
 
3728
[912816300,1],
 
3729
[912837900,1],
 
3730
[912859500,1],
 
3731
[912881100,1],
 
3732
[912902700,1],
 
3733
[912924300,1],
 
3734
[912945900,1],
 
3735
[912967500,1],
 
3736
[912989100,1],
 
3737
[913010700,1],
 
3738
[913032300,1],
 
3739
[913053900,1],
 
3740
[913075500,1],
 
3741
[913097100,1],
 
3742
[913118700,1],
 
3743
[913140300,1],
 
3744
[913162200,1],
 
3745
[913183500,1],
 
3746
[913205100,1],
 
3747
[913226700,1],
 
3748
[913248300,1],
 
3749
[913269900,1],
 
3750
[913291500,1],
 
3751
[913313100,1],
 
3752
[913334700,1],
 
3753
[913356300,1],
 
3754
[913377900,1],
 
3755
[913399500,1],
 
3756
[913421100,1],
 
3757
[913442700,1],
 
3758
[913464300,1],
 
3759
[913485900,1],
 
3760
[913507500,1],
 
3761
[913529100,1],
 
3762
[913550700,1],
 
3763
[913572300,1],
 
3764
[913593900,1],
 
3765
[913615500,1],
 
3766
[913637100,1],
 
3767
[913658700,1],
 
3768
[913680300,1],
 
3769
[913701900,1],
 
3770
[913723500,1],
 
3771
[913745100,1],
 
3772
[913766700,1],
 
3773
[913788300,1],
 
3774
[913809900,1],
 
3775
[913831500,1],
 
3776
[913853100,1],
 
3777
[913874700,1],
 
3778
[913896300,1],
 
3779
[913917900,1],
 
3780
[913939500,1],
 
3781
[913961100,1],
 
3782
[913982700,1],
 
3783
[914004300,1],
 
3784
[914025900,1],
 
3785
[914047500,1],
 
3786
[914069100,1],
 
3787
[914090700,1],
 
3788
[914112300,1],
 
3789
[914133900,1],
 
3790
[914155500,1],
 
3791
[914177100,1],
 
3792
[914198700,1],
 
3793
[914220300,1],
 
3794
[914241900,1],
 
3795
[914263500,1],
 
3796
[918009300,1],
 
3797
[918017400,1],
 
3798
[918054300,1],
 
3799
[918075900,1],
 
3800
[918097500,1],
 
3801
[918124200,1],
 
3802
[918145800,1],
 
3803
[918259800,1],
 
3804
[918267000,1],
 
3805
[918269700,1],
 
3806
[918273000,1],
 
3807
[918274200,1],
 
3808
[918275100,1],
 
3809
[918275700,1],
 
3810
[918276600,1],
 
3811
[918277200,1],
 
3812
[918277800,1],
 
3813
[918278700,1],
 
3814
[918279300,1],
 
3815
[918280200,1],
 
3816
[918281100,1],
 
3817
[918282900,1],
 
3818
[918283500,1],
 
3819
[918284400,1],
 
3820
[918285900,1],
 
3821
[918287400,1],
 
3822
[918289200,1],
 
3823
[918290100,1],
 
3824
[918291600,1],
 
3825
[918292800,1],
 
3826
[918293700,1],
 
3827
[918295800,1],
 
3828
[918296700,1],
 
3829
[918297300,1],
 
3830
[918298200,1],
 
3831
[918298800,1],
 
3832
[918299400,1],
 
3833
[918300300,1],
 
3834
[918300900,1],
 
3835
[918301800,1],
 
3836
[918302700,1],
 
3837
[918304500,1],
 
3838
[918339600,1],
 
3839
[918340200,1],
 
3840
[918341100,1],
 
3841
[918341700,1],
 
3842
[918342600,1],
 
3843
[918342900,1],
 
3844
[918343800,1],
 
3845
[918344400,1],
 
3846
[918345300,1],
 
3847
[918346500,1],
 
3848
[918347700,1],
 
3849
[918348600,1],
 
3850
[918349500,1],
 
3851
[918350100,1],
 
3852
[918351000,1],
 
3853
[918351600,1],
 
3854
[918352200,1],
 
3855
[918353700,1],
 
3856
[918354300,1],
 
3857
[918355200,1],
 
3858
[918355800,1],
 
3859
[918356700,1],
 
3860
[918357000,1],
 
3861
[918357900,1],
 
3862
[918358500,1],
 
3863
[918360600,1],
 
3864
[918361500,1],
 
3865
[918362100,1],
 
3866
[918363000,1],
 
3867
[918363600,1],
 
3868
[918364200,1],
 
3869
[918365100,1],
 
3870
[918365700,1],
 
3871
[918366600,1],
 
3872
[918367500,1],
 
3873
[918369300,1],
 
3874
[918369900,1],
 
3875
[918370800,1],
 
3876
[918371400,1],
 
3877
[918372300,1],
 
3878
[918372900,1],
 
3879
[918373800,1],
 
3880
[918375000,1],
 
3881
[918375900,1],
 
3882
[918376500,1],
 
3883
[918377400,1],
 
3884
[918378000,1],
 
3885
[918378600,1],
 
3886
[918379500,1],
 
3887
[918380100,1],
 
3888
[918382200,1],
 
3889
[918383100,1],
 
3890
[918383700,1],
 
3891
[918384600,1],
 
3892
[918385200,1],
 
3893
[918385800,1],
 
3894
[918386700,1],
 
3895
[918387300,1],
 
3896
[918388200,1],
 
3897
[918389100,1],
 
3898
[918390900,1],
 
3899
[918391500,1],
 
3900
[918392400,1],
 
3901
[918393000,1],
 
3902
[918393900,1],
 
3903
[918394500,1],
 
3904
[918395400,1],
 
3905
[918396000,1],
 
3906
[918396600,1],
 
3907
[918397500,1],
 
3908
[918398100,1],
 
3909
[918399000,1],
 
3910
[918399600,1],
 
3911
[918400200,1],
 
3912
[918401100,1],
 
3913
[918401700,1],
 
3914
[918402600,1],
 
3915
[918403500,1],
 
3916
[918403800,1],
 
3917
[918404700,1],
 
3918
[918405300,1],
 
3919
[918406200,1],
 
3920
[918406800,1],
 
3921
[918407400,1],
 
3922
[918408300,1],
 
3923
[918408900,1],
 
3924
[918409800,1],
 
3925
[918410700,1],
 
3926
[918412500,1],
 
3927
[918413100,1],
 
3928
[918414000,1],
 
3929
[918414600,1],
 
3930
[918415500,1],
 
3931
[918416100,1],
 
3932
[918417000,1],
 
3933
[918417600,1],
 
3934
[918418200,1],
 
3935
[918419100,1],
 
3936
[918419700,1],
 
3937
[918420600,1],
 
3938
[918421200,1],
 
3939
[918421800,1],
 
3940
[918422700,1],
 
3941
[918423300,1],
 
3942
[918424200,1],
 
3943
[918424800,1],
 
3944
[918425400,1],
 
3945
[918426300,1],
 
3946
[918426900,1],
 
3947
[918427800,1],
 
3948
[918428400,1],
 
3949
[918429000,1],
 
3950
[918429900,1],
 
3951
[918430500,1],
 
3952
[918431400,1],
 
3953
[918432300,1],
 
3954
[918434100,1],
 
3955
[918434700,1],
 
3956
[918435600,1],
 
3957
[918436200,1],
 
3958
[918437100,1],
 
3959
[918437700,1],
 
3960
[918438600,1],
 
3961
[918439800,1],
 
3962
[918440700,1],
 
3963
[918441300,1],
 
3964
[918442200,1],
 
3965
[918442800,1],
 
3966
[918443400,1],
 
3967
[918444300,1],
 
3968
[918444900,1],
 
3969
[918447000,1],
 
3970
[918447900,1],
 
3971
[918448500,1],
 
3972
[918449400,1],
 
3973
[918450000,1],
 
3974
[918450600,1],
 
3975
[918451500,1],
 
3976
[918452100,1],
 
3977
[918453000,1],
 
3978
[918453900,1],
 
3979
[918455700,1],
 
3980
[918456300,1],
 
3981
[918457200,1],
 
3982
[918457800,1],
 
3983
[918458700,1],
 
3984
[918459300,1],
 
3985
[918460200,1],
 
3986
[918460800,1],
 
3987
[918461400,1],
 
3988
[918462300,1],
 
3989
[918462900,1],
 
3990
[918463800,1],
 
3991
[918464400,1],
 
3992
[918465000,1],
 
3993
[918465900,1],
 
3994
[918466500,1],
 
3995
[918467400,1],
 
3996
[918468000,1],
 
3997
[918468600,1],
 
3998
[918469500,1],
 
3999
[918470100,1],
 
4000
[918471000,1],
 
4001
[918471600,1],
 
4002
[918472200,1],
 
4003
[918473100,1],
 
4004
[918473700,1],
 
4005
[918474600,1],
 
4006
[918475500,1],
 
4007
[918477300,1],
 
4008
[918477900,1],
 
4009
[918478800,1],
 
4010
[918479400,1],
 
4011
[918480300,1],
 
4012
[918480900,1],
 
4013
[918481800,1],
 
4014
[918482400,1],
 
4015
[918483000,1],
 
4016
[918483900,1],
 
4017
[918484500,1],
 
4018
[918485400,1],
 
4019
[918486000,1],
 
4020
[918486600,1],
 
4021
[918487500,1],
 
4022
[918488100,1],
 
4023
[918489000,1],
 
4024
[918489900,1],
 
4025
[918490200,1],
 
4026
[918491100,1],
 
4027
[918491700,1],
 
4028
[918492600,1],
 
4029
[918493200,1],
 
4030
[918493800,1],
 
4031
[918494700,1],
 
4032
[918495300,1],
 
4033
[918496200,1],
 
4034
[918497100,1],
 
4035
[918498900,1],
 
4036
[918499500,1],
 
4037
[918500400,1],
 
4038
[918501000,1],
 
4039
[918501900,1],
 
4040
[918502500,1],
 
4041
[918503400,1],
 
4042
[918504000,1],
 
4043
[918504600,1],
 
4044
[918505500,1],
 
4045
[918506100,1],
 
4046
[918507000,1],
 
4047
[918507600,1],
 
4048
[918508200,1],
 
4049
[918509100,1],
 
4050
[918509700,1],
 
4051
[918510600,1],
 
4052
[918511200,1],
 
4053
[918511800,1],
 
4054
[918512700,1],
 
4055
[918513300,1],
 
4056
[918514200,1],
 
4057
[918514800,1],
 
4058
[918515400,1],
 
4059
[918516300,1],
 
4060
[918516900,1],
 
4061
[918517800,1],
 
4062
[918518700,1],
 
4063
[918520500,1],
 
4064
[918521100,1],
 
4065
[918522000,1],
 
4066
[918522600,1],
 
4067
[918523500,1],
 
4068
[918524100,1],
 
4069
[918525000,1],
 
4070
[918526200,1],
 
4071
[918527100,1],
 
4072
[918528900,1],
 
4073
[918529800,1],
 
4074
[918530700,1],
 
4075
[918531300,1],
 
4076
[918533400,1],
 
4077
[918534300,1],
 
4078
[918534900,1],
 
4079
[918535800,1],
 
4080
[918536400,1],
 
4081
[918537000,1],
 
4082
[918537900,1],
 
4083
[918538500,1],
 
4084
[918539400,1],
 
4085
[918540300,1],
 
4086
[918542100,1],
 
4087
[918542700,1],
 
4088
[918543600,1],
 
4089
[918544200,1],
 
4090
[918545100,1],
 
4091
[918545700,1],
 
4092
[918546600,1],
 
4093
[918547200,1],
 
4094
[918547800,1],
 
4095
[918548700,1],
 
4096
[918549300,1],
 
4097
[918550200,1],
 
4098
[918550800,1],
 
4099
[918551400,1],
 
4100
[918552300,1],
 
4101
[918552900,1],
 
4102
[918553800,1],
 
4103
[918554400,1],
 
4104
[918555000,1],
 
4105
[918555900,1],
 
4106
[918556500,1],
 
4107
[918557400,1],
 
4108
[918558000,1],
 
4109
[918558600,1],
 
4110
[918559500,1],
 
4111
[918560100,1],
 
4112
[918561000,1],
 
4113
[918561900,1],
 
4114
[918563700,1],
 
4115
[918564300,1],
 
4116
[918565200,1],
 
4117
[918565800,1],
 
4118
[918566700,1],
 
4119
[918567300,1],
 
4120
[918568200,1],
 
4121
[918568800,1],
 
4122
[918569400,1],
 
4123
[918570300,1],
 
4124
[918570900,1],
 
4125
[918571800,1],
 
4126
[918572400,1],
 
4127
[918573000,1],
 
4128
[918573900,1],
 
4129
[918574500,1],
 
4130
[918575400,1],
 
4131
[918576300,1],
 
4132
[918576600,1],
 
4133
[918577500,1],
 
4134
[918578100,1],
 
4135
[918579000,1],
 
4136
[918579600,1],
 
4137
[918580200,1],
 
4138
[918581100,1],
 
4139
[918581700,1],
 
4140
[918582600,1],
 
4141
[918583500,1],
 
4142
[918585300,1],
 
4143
[918585900,1],
 
4144
[918586800,1],
 
4145
[918587400,1],
 
4146
[918588300,1],
 
4147
[918588900,1],
 
4148
[918589800,1],
 
4149
[918590400,1],
 
4150
[918591000,1],
 
4151
[918591900,1],
 
4152
[918592500,1],
 
4153
[918593400,1],
 
4154
[918594000,1],
 
4155
[918594600,1],
 
4156
[918595500,1],
 
4157
[918596100,1],
 
4158
[918597000,1],
 
4159
[918597600,1],
 
4160
[918598200,1],
 
4161
[918599100,1],
 
4162
[918599700,1],
 
4163
[918600600,1],
 
4164
[918601200,1],
 
4165
[918602700,1],
 
4166
[918603300,1],
 
4167
[918604200,1],
 
4168
[918605100,1],
 
4169
[918606900,1],
 
4170
[918607500,1],
 
4171
[918608400,1],
 
4172
[918609000,1],
 
4173
[918609900,1],
 
4174
[918610500,1],
 
4175
[918611400,1],
 
4176
[918612600,1],
 
4177
[918613500,1],
 
4178
[918614100,1],
 
4179
[918615000,1],
 
4180
[918615600,1],
 
4181
[918616200,1],
 
4182
[918617100,1],
 
4183
[918617700,1],
 
4184
[918619800,1],
 
4185
[918620700,1],
 
4186
[918621300,1],
 
4187
[918622200,1],
 
4188
[918622800,1],
 
4189
[918623400,1],
 
4190
[918624300,1],
 
4191
[918624900,1],
 
4192
[918625800,1],
 
4193
[918626700,1],
 
4194
[918628500,1],
 
4195
[918629100,1],
 
4196
[918630000,1],
 
4197
[918630600,1],
 
4198
[918631500,1],
 
4199
[918632100,1],
 
4200
[918633000,1],
 
4201
[918633600,1],
 
4202
[918634200,1],
 
4203
[918635100,1],
 
4204
[918635700,1],
 
4205
[918636600,1],
 
4206
[918637200,1],
 
4207
[918637800,1],
 
4208
[918638700,1],
 
4209
[918639300,1],
 
4210
[918640200,1],
 
4211
[918640800,1],
 
4212
[918641400,1],
 
4213
[918642300,1],
 
4214
[918642900,1],
 
4215
[918643800,1],
 
4216
[918644400,1],
 
4217
[918645000,1],
 
4218
[918645900,1],
 
4219
[918646500,1],
 
4220
[918647400,1],
 
4221
[918648300,1],
 
4222
[918650100,1],
 
4223
[918650700,1],
 
4224
[918651600,1],
 
4225
[918652200,1],
 
4226
[918653100,1],
 
4227
[918653700,1],
 
4228
[918654600,1],
 
4229
[918655200,1],
 
4230
[918655800,1],
 
4231
[918656700,1],
 
4232
[918657300,1],
 
4233
[918658200,1],
 
4234
[918658800,1],
 
4235
[918659400,1],
 
4236
[918660300,1],
 
4237
[918660900,1],
 
4238
[918661800,1],
 
4239
[918662700,1],
 
4240
[918663000,1],
 
4241
[918663900,1],
 
4242
[918664500,1],
 
4243
[918665400,1],
 
4244
[918666000,1],
 
4245
[918666600,1],
 
4246
[918667500,1],
 
4247
[918668100,1],
 
4248
[918669000,1],
 
4249
[918669900,1],
 
4250
[918671700,1],
 
4251
[918672300,1],
 
4252
[918673800,1],
 
4253
[918674700,1],
 
4254
[918675300,1],
 
4255
[918676200,1],
 
4256
[918676800,1],
 
4257
[918678300,1],
 
4258
[918678900,1],
 
4259
[918679800,1],
 
4260
[918680400,1],
 
4261
[918681000,1],
 
4262
[918681900,1],
 
4263
[918683400,1],
 
4264
[918684000,1],
 
4265
[918684600,1],
 
4266
[918685500,1],
 
4267
[918688200,1],
 
4268
[918689100,1],
 
4269
[918689700,1],
 
4270
[918690600,1],
 
4271
[918691500,1],
 
4272
[918693900,1],
 
4273
[918694800,1],
 
4274
[918695400,1],
 
4275
[918696300,1],
 
4276
[918696900,1],
 
4277
[918697800,1],
 
4278
[918699000,1],
 
4279
[918702000,1],
 
4280
[918702600,1],
 
4281
[918703500,1],
 
4282
[918704100,1],
 
4283
[918706200,1],
 
4284
[918707100,1],
 
4285
[918707700,1],
 
4286
[918708600,1],
 
4287
[918709200,1],
 
4288
[918709800,1],
 
4289
[918710700,1],
 
4290
[918711300,1],
 
4291
[918712200,1],
 
4292
[918713100,1],
 
4293
[918714300,1],
 
4294
[918715200,1],
 
4295
[918715800,1],
 
4296
[918716700,1],
 
4297
[918717000,1],
 
4298
[918717900,1],
 
4299
[918718500,1],
 
4300
[918719400,1],
 
4301
[918720000,1],
 
4302
[918720600,1],
 
4303
[918721500,1],
 
4304
[918722100,1],
 
4305
[918723000,1],
 
4306
[918723600,1],
 
4307
[918724200,1],
 
4308
[918725100,1],
 
4309
[918725700,1],
 
4310
[918727200,1],
 
4311
[918727800,1],
 
4312
[918728700,1],
 
4313
[918729300,1],
 
4314
[918730200,1],
 
4315
[918730800,1],
 
4316
[918731400,1],
 
4317
[918732300,1],
 
4318
[918732900,1],
 
4319
[918733800,1],
 
4320
[918734700,1],
 
4321
[918735900,1],
 
4322
[918736800,1],
 
4323
[918737400,1],
 
4324
[918738300,1],
 
4325
[918738600,1],
 
4326
[918739500,1],
 
4327
[918740100,1],
 
4328
[918741000,1],
 
4329
[918741600,1],
 
4330
[918742200,1],
 
4331
[918743100,1],
 
4332
[918743700,1],
 
4333
[918744600,1],
 
4334
[918745200,1],
 
4335
[918745800,1],
 
4336
[918746700,1],
 
4337
[918747300,1],
 
4338
[918749400,1],
 
4339
[918750300,1],
 
4340
[918750900,1],
 
4341
[918751800,1],
 
4342
[918752400,1],
 
4343
[918753000,1],
 
4344
[918753900,1],
 
4345
[918754500,1],
 
4346
[918755400,1],
 
4347
[918756300,1],
 
4348
[918757500,1],
 
4349
[918759300,1],
 
4350
[918760200,1],
 
4351
[918761100,1],
 
4352
[918761700,1],
 
4353
[918762600,1],
 
4354
[918763200,1],
 
4355
[918763800,1],
 
4356
[918764700,1],
 
4357
[918765300,1],
 
4358
[918766200,1],
 
4359
[918766800,1],
 
4360
[918767400,1],
 
4361
[918768300,1],
 
4362
[918768900,1],
 
4363
[918769800,1],
 
4364
[918770400,1],
 
4365
[918771000,1],
 
4366
[918771900,1],
 
4367
[918772500,1],
 
4368
[918773400,1],
 
4369
[918774000,1],
 
4370
[918774600,1],
 
4371
[918775500,1],
 
4372
[918776100,1],
 
4373
[918777000,1],
 
4374
[918777900,1],
 
4375
[918779100,1],
 
4376
[918780000,1],
 
4377
[918780600,1],
 
4378
[918781500,1],
 
4379
[918782100,1],
 
4380
[918783000,1],
 
4381
[918783600,1],
 
4382
[918784500,1],
 
4383
[918785700,1],
 
4384
[918786600,1],
 
4385
[918787200,1],
 
4386
[918787800,1],
 
4387
[918788700,1],
 
4388
[918789300,1],
 
4389
[918789900,1],
 
4390
[918790500,1],
 
4391
[918792600,1],
 
4392
[918793500,1],
 
4393
[918794100,1],
 
4394
[918795000,1],
 
4395
[918795600,1],
 
4396
[918796200,1],
 
4397
[918797100,1],
 
4398
[918797700,1],
 
4399
[918798600,1],
 
4400
[918799500,1],
 
4401
[918800700,1],
 
4402
[918801600,1],
 
4403
[918802200,1],
 
4404
[918803100,1],
 
4405
[918803400,1],
 
4406
[918804300,1],
 
4407
[918804900,1],
 
4408
[918805800,1],
 
4409
[918806400,1],
 
4410
[918807000,1],
 
4411
[918807900,1],
 
4412
[918808500,1],
 
4413
[918809400,1],
 
4414
[918810000,1],
 
4415
[918810600,1],
 
4416
[918811500,1],
 
4417
[918812100,1],
 
4418
[918813000,1],
 
4419
[918813600,1],
 
4420
[918814200,1],
 
4421
[918815100,1],
 
4422
[918815700,1],
 
4423
[918816600,1],
 
4424
[918817200,1],
 
4425
[918817800,1],
 
4426
[918818700,1],
 
4427
[918819300,1],
 
4428
[918820200,1],
 
4429
[918821100,1],
 
4430
[918822300,1],
 
4431
[918823200,1],
 
4432
[918823800,1],
 
4433
[918824700,1],
 
4434
[918825000,1],
 
4435
[918825900,1],
 
4436
[918826500,1],
 
4437
[918827400,1],
 
4438
[918828000,1],
 
4439
[918828600,1],
 
4440
[918829500,1],
 
4441
[918830100,1],
 
4442
[918831000,1],
 
4443
[918831600,1],
 
4444
[918832200,1],
 
4445
[918833100,1],
 
4446
[918833700,1],
 
4447
[918834600,1],
 
4448
[918835500,1],
 
4449
[918835800,1],
 
4450
[918836700,1],
 
4451
[918837300,1],
 
4452
[918838200,1],
 
4453
[918838800,1],
 
4454
[918839400,1],
 
4455
[918840300,1],
 
4456
[918840900,1],
 
4457
[918841800,1],
 
4458
[918842700,1],
 
4459
[918843900,1],
 
4460
[918844800,1],
 
4461
[918845400,1],
 
4462
[918846300,1],
 
4463
[918846600,1],
 
4464
[918847500,1],
 
4465
[918848100,1],
 
4466
[918849000,1],
 
4467
[918849600,1],
 
4468
[918850200,1],
 
4469
[918851100,1],
 
4470
[918851700,1],
 
4471
[918852600,1],
 
4472
[918853200,1],
 
4473
[918853800,1],
 
4474
[918854700,1],
 
4475
[918855300,1],
 
4476
[918856200,1],
 
4477
[918856800,1],
 
4478
[918857400,1],
 
4479
[918858300,1],
 
4480
[918858900,1],
 
4481
[918859800,1],
 
4482
[918860400,1],
 
4483
[918861000,1],
 
4484
[918861900,1],
 
4485
[918862500,1],
 
4486
[918863400,1],
 
4487
[918864300,1],
 
4488
[918865500,1],
 
4489
[918866400,1],
 
4490
[918867000,1],
 
4491
[918867900,1],
 
4492
[918868500,1],
 
4493
[918872400,1],
 
4494
[918876000,1],
 
4495
[918879000,1],
 
4496
[918879600,1],
 
4497
[918880500,1],
 
4498
[918881100,1],
 
4499
[918882000,1],
 
4500
[918882600,1],
 
4501
[918883200,1],
 
4502
[918884100,1],
 
4503
[918884700,1],
 
4504
[918885900,1],
 
4505
[918887700,1],
 
4506
[918888300,1],
 
4507
[918889200,1],
 
4508
[918890400,1],
 
4509
[918891900,1],
 
4510
[918894900,1],
 
4511
[918896400,1],
 
4512
[918897000,1],
 
4513
[918897600,1],
 
4514
[918898500,1],
 
4515
[918901200,1],
 
4516
[918902100,1],
 
4517
[918902700,1],
 
4518
[918903600,1],
 
4519
[918904200,1],
 
4520
[918904800,1],
 
4521
[918905700,1],
 
4522
[918906300,1],
 
4523
[918907500,1],
 
4524
[918909300,1],
 
4525
[918909900,1],
 
4526
[918910800,1],
 
4527
[918911400,1],
 
4528
[918912000,1],
 
4529
[918912900,1],
 
4530
[918913500,1],
 
4531
[918915000,1],
 
4532
[918915900,1],
 
4533
[918916500,1],
 
4534
[918917100,1],
 
4535
[918918000,1],
 
4536
[918918600,1],
 
4537
[918919200,1],
 
4538
[918920100,1],
 
4539
[918920700,1],
 
4540
[918921600,1],
 
4541
[918922200,1],
 
4542
[918922800,1],
 
4543
[918923700,1],
 
4544
[918924300,1],
 
4545
[918925200,1],
 
4546
[918925800,1],
 
4547
[918926400,1],
 
4548
[918927300,1],
 
4549
[918927900,1],
 
4550
[918929100,1],
 
4551
[918930900,1],
 
4552
[918931500,1],
 
4553
[918932400,1],
 
4554
[918933000,1],
 
4555
[918933600,1],
 
4556
[918934500,1],
 
4557
[918935100,1],
 
4558
[918936000,1],
 
4559
[918936600,1],
 
4560
[918937200,1],
 
4561
[918938100,1],
 
4562
[918938700,1],
 
4563
[918939600,1],
 
4564
[918940200,1],
 
4565
[918940800,1],
 
4566
[918941700,1],
 
4567
[918942300,1],
 
4568
[918943800,1],
 
4569
[918944400,1],
 
4570
[918945300,1],
 
4571
[918945900,1],
 
4572
[918946800,1],
 
4573
[918947400,1],
 
4574
[918948900,1],
 
4575
[918949500,1],
 
4576
[918950700,1],
 
4577
[918952500,1],
 
4578
[918953100,1],
 
4579
[918954000,1],
 
4580
[918954600,1],
 
4581
[918955200,1],
 
4582
[918956100,1],
 
4583
[918956700,1],
 
4584
[918958200,1],
 
4585
[918958800,1],
 
4586
[918959700,1],
 
4587
[918960300,1],
 
4588
[918961200,1],
 
4589
[918961800,1],
 
4590
[918962400,1],
 
4591
[918963300,1],
 
4592
[918965400,1],
 
4593
[918966000,1],
 
4594
[918966900,1],
 
4595
[918967500,1],
 
4596
[918968400,1],
 
4597
[918969000,1],
 
4598
[918969600,1],
 
4599
[918970500,1],
 
4600
[918971100,1],
 
4601
[918972300,1],
 
4602
[918974100,1],
 
4603
[918974700,1],
 
4604
[918975600,1],
 
4605
[918976200,1],
 
4606
[918976800,1],
 
4607
[918977700,1],
 
4608
[918978300,1],
 
4609
[918980400,1],
 
4610
[918981300,1],
 
4611
[918981900,1],
 
4612
[918982800,1],
 
4613
[918983400,1],
 
4614
[918984000,1],
 
4615
[918984900,1],
 
4616
[918987000,1],
 
4617
[918987600,1],
 
4618
[918988500,1],
 
4619
[918989100,1],
 
4620
[918990000,1],
 
4621
[918990600,1],
 
4622
[918991200,1],
 
4623
[918992100,1],
 
4624
[918992700,1],
 
4625
[918993900,1],
 
4626
[918995700,1],
 
4627
[918996300,1],
 
4628
[918997200,1],
 
4629
[918997800,1],
 
4630
[918998400,1],
 
4631
[918999300,1],
 
4632
[918999900,1],
 
4633
[919000800,1],
 
4634
[919001400,1],
 
4635
[919002000,1],
 
4636
[919002900,1],
 
4637
[919003500,1],
 
4638
[919004400,1],
 
4639
[919005000,1],
 
4640
[919005600,1],
 
4641
[919006500,1],
 
4642
[919007100,1],
 
4643
[919008000,1],
 
4644
[919008600,1],
 
4645
[919009200,1],
 
4646
[919010100,1],
 
4647
[919010700,1],
 
4648
[919011600,1],
 
4649
[919012200,1],
 
4650
[919012800,1],
 
4651
[919013700,1],
 
4652
[919015500,1],
 
4653
[919017300,1],
 
4654
[919392300,1],
 
4655
[919404300,1],
 
4656
[919425900,1],
 
4657
[919447500,1],
 
4658
[919469100,1],
 
4659
[919490700,1],
 
4660
[919512300,1],
 
4661
[919533900,1],
 
4662
[919555500,1],
 
4663
[919577100,1],
 
4664
[919598700,1],
 
4665
[919620300,1],
 
4666
[919641900,1],
 
4667
[919663500,1],
 
4668
[919685100,1],
 
4669
[919706700,1],
 
4670
[919728300,1],
 
4671
[919749900,1],
 
4672
[919771500,1],
 
4673
[919793100,1],
 
4674
[919814700,1],
 
4675
[919836300,1],
 
4676
[919857900,1],
 
4677
[919879500,1],
 
4678
[919901100,1],
 
4679
[919922700,1],
 
4680
[919944300,1],
 
4681
[919965900,1],
 
4682
[919987500,1],
 
4683
[920009100,1],
 
4684
[920030700,1],
 
4685
[920052300,1],
 
4686
[920073900,1],
 
4687
[920095500,1],
 
4688
[920117100,1],
 
4689
[920138700,1],
 
4690
[920160300,1],
 
4691
[920181900,1],
 
4692
[920203500,1],
 
4693
[920225100,1],
 
4694
[920246700,1],
 
4695
[920268300,1],
 
4696
[920289900,1],
 
4697
[920311500,1],
 
4698
[920333100,1],
 
4699
[920354700,1],
 
4700
[920376300,1],
 
4701
[920397900,1],
 
4702
[920419500,1],
 
4703
[920441100,1],
 
4704
[920462700,1],
 
4705
[920484300,1],
 
4706
[920779500,1],
 
4707
[920808300,1],
 
4708
[920829900,1],
 
4709
[920851500,1],
 
4710
[920873100,1],
 
4711
[920894700,1],
 
4712
[920916300,1],
 
4713
[920937900,1],
 
4714
[920959500,1],
 
4715
[920981100,1],
 
4716
[921002700,1],
 
4717
[921024300,1],
 
4718
[921045900,1],
 
4719
[921067500,1],
 
4720
[921089100,1],
 
4721
[921110700,1],
 
4722
[921132300,1],
 
4723
[921153900,1],
 
4724
[921175500,1],
 
4725
[921196800,1],
 
4726
[921218700,1],
 
4727
[921240300,1],
 
4728
[921261900,1],
 
4729
[921286200,1],
 
4730
[921305100,1],
 
4731
[921326700,1],
 
4732
[921348300,1],
 
4733
[921369900,1],
 
4734
[921391500,1],
 
4735
[921413100,1],
 
4736
[921423900,1],
 
4737
[921434700,1],
 
4738
[921445500,1],
 
4739
[921456300,1],
 
4740
[921477900,1],
 
4741
[921499500,1],
 
4742
[921521100,1],
 
4743
[921542700,1],
 
4744
[921564300,1],
 
4745
[921585900,1],
 
4746
[921607500,1],
 
4747
[921629100,1],
 
4748
[921650700,1],
 
4749
[921672300,1],
 
4750
[921693900,1],
 
4751
[921715500,1],
 
4752
[921737100,1],
 
4753
[921758700,1],
 
4754
[921780300,1],
 
4755
[921801900,1],
 
4756
[921823500,1],
 
4757
[921845100,1],
 
4758
[921866700,1],
 
4759
[921888300,1],
 
4760
[921909900,1],
 
4761
[921931500,1],
 
4762
[921953100,1],
 
4763
[921974700,1],
 
4764
[921996300,1],
 
4765
[922017900,1],
 
4766
[922039500,1],
 
4767
[922061100,1],
 
4768
[922082700,1],
 
4769
[922104300,1],
 
4770
[922125900,1],
 
4771
[922147500,1],
 
4772
[922169100,1],
 
4773
[922190700,1],
 
4774
[922212300,1],
 
4775
[922233900,1],
 
4776
[922255500,1],
 
4777
[922277700,1],
 
4778
[922298700,1],
 
4779
[922333500,1],
 
4780
[922341900,1],
 
4781
[922364100,1],
 
4782
[922385100,1],
 
4783
[922406700,1],
 
4784
[922428300,1],
 
4785
[922450500,1],
 
4786
[922471500,1],
 
4787
[922515900,1],
 
4788
[922536900,1],
 
4789
[922557900,1],
 
4790
[922579500,1],
 
4791
[922601100,1],
 
4792
[922623300,1],
 
4793
[922644300,1],
 
4794
[922665900,1],
 
4795
[922687500,1],
 
4796
[922709700,1],
 
4797
[922730700,1],
 
4798
[922752300,1],
 
4799
[922773900,1],
 
4800
[922796100,1],
 
4801
[922817100,1],
 
4802
[922838700,1],
 
4803
[922860300,1],
 
4804
[922882500,1],
 
4805
[922903500,1],
 
4806
[922925100,1],
 
4807
[922946700,1],
 
4808
[922968300,1],
 
4809
[922989900,1],
 
4810
[923011500,1],
 
4811
[923033100,1],
 
4812
[923054700,1],
 
4813
[923076300,1],
 
4814
[923097900,1],
 
4815
[923119500,1],
 
4816
[923141400,1],
 
4817
[923162700,1],
 
4818
[923184300,1],
 
4819
[923205900,1],
 
4820
[923223900,1],
 
4821
[923245500,1],
 
4822
[923267100,1],
 
4823
[923288700,1],
 
4824
[923310300,1],
 
4825
[923331900,1],
 
4826
[923353500,1],
 
4827
[923375100,1],
 
4828
[923396700,1],
 
4829
[923418300,1],
 
4830
[923439900,1],
 
4831
[923461500,1],
 
4832
[923483100,1],
 
4833
[923504700,1],
 
4834
[923526300,1],
 
4835
[923547900,1],
 
4836
[923569500,1],
 
4837
[923591100,1],
 
4838
[923612700,1],
 
4839
[923634300,1],
 
4840
[923655900,1],
 
4841
[923677500,1],
 
4842
[923699100,1],
 
4843
[923720700,1],
 
4844
[923742300,1],
 
4845
[923763900,1],
 
4846
[923785500,1],
 
4847
[923807100,1],
 
4848
[923828700,1],
 
4849
[923850300,1],
 
4850
[923871900,1],
 
4851
[923893500,1],
 
4852
[923915100,1],
 
4853
[923936700,1],
 
4854
[923958300,1],
 
4855
[923979900,1],
 
4856
[924001500,1],
 
4857
[924023100,1],
 
4858
[924044700,1],
 
4859
[924066300,1],
 
4860
[924087900,1],
 
4861
[924109500,1],
 
4862
[924131100,1],
 
4863
[924152700,1],
 
4864
[924174300,1],
 
4865
[924195900,1],
 
4866
[924217500,1],
 
4867
[924239100,1],
 
4868
[924260700,1],
 
4869
[924282300,1],
 
4870
[924303900,1],
 
4871
[924330900,1],
 
4872
[924348300,1],
 
4873
[924368700,1],
 
4874
[924390300,1],
 
4875
[924411900,1],
 
4876
[924433500,1],
 
4877
[924455100,1],
 
4878
[924476700,1],
 
4879
[924498300,1],
 
4880
[924519900,1],
 
4881
[924541500,1],
 
4882
[924563100,1],
 
4883
[924584700,1],
 
4884
[924606300,1],
 
4885
[924627900,1],
 
4886
[924649500,1],
 
4887
[924671100,1],
 
4888
[924692700,1],
 
4889
[924714300,1],
 
4890
[924735900,1],
 
4891
[924757500,1],
 
4892
[924779100,1],
 
4893
[924800700,1],
 
4894
[924822300,1],
 
4895
[924843900,1],
 
4896
[924865500,1],
 
4897
[924887100,1],
 
4898
[924908700,1],
 
4899
[924930300,1],
 
4900
[924951900,1],
 
4901
[924973500,1],
 
4902
[924995100,1],
 
4903
[925016700,1],
 
4904
[925038300,1],
 
4905
[925059900,1],
 
4906
[925081500,1],
 
4907
[925103100,1],
 
4908
[925124700,1],
 
4909
[925146300,1],
 
4910
[925167900,1],
 
4911
[925189500,1],
 
4912
[925211100,1],
 
4913
[925232700,1],
 
4914
[925254300,1],
 
4915
[925275900,1],
 
4916
[925297500,1],
 
4917
[925319100,1],
 
4918
[925340700,1],
 
4919
[925362300,1],
 
4920
[925383900,1],
 
4921
[925405500,1],
 
4922
[925427100,1],
 
4923
[925448700,1],
 
4924
[925470300,1],
 
4925
[925491900,1],
 
4926
[925513500,1],
 
4927
[925535100,1],
 
4928
[925556700,1],
 
4929
[925578300,1],
 
4930
[925599900,1],
 
4931
[925621500,1],
 
4932
[925643100,1],
 
4933
[925664700,1],
 
4934
[925686300,1],
 
4935
[925707900,1],
 
4936
[925729500,1],
 
4937
[925751100,1],
 
4938
[925772700,1],
 
4939
[925794300,1],
 
4940
[925815900,1],
 
4941
[925837500,1],
 
4942
[925859100,1],
 
4943
[925880700,1],
 
4944
[925902300,1],
 
4945
[925923900,1],
 
4946
[925945500,1],
 
4947
[925967100,1],
 
4948
[925988700,1],
 
4949
[926010300,1],
 
4950
[926031900,1],
 
4951
[926053500,1],
 
4952
[926075100,1],
 
4953
[926096700,1],
 
4954
[926120400,1],
 
4955
[926122500,1],
 
4956
[926139900,1],
 
4957
[926161500,1],
 
4958
[926183100,1],
 
4959
[926204700,1],
 
4960
[926226300,1],
 
4961
[926247900,1],
 
4962
[926269500,1],
 
4963
[926291100,1],
 
4964
[926312700,1],
 
4965
[926334300,1],
 
4966
[926355900,1],
 
4967
[926377500,1],
 
4968
[926399100,1],
 
4969
[926420700,1],
 
4970
[926442300,1],
 
4971
[926463900,1],
 
4972
[926485500,1],
 
4973
[926507100,1],
 
4974
[926528700,1],
 
4975
[926550300,1],
 
4976
[926571900,1],
 
4977
[926593500,1],
 
4978
[926615100,1],
 
4979
[926636700,1],
 
4980
[926658300,1],
 
4981
[926679900,1],
 
4982
[926701500,1],
 
4983
[926724000,1],
 
4984
[926744700,1],
 
4985
[926766300,1],
 
4986
[926787900,1],
 
4987
[926809500,1],
 
4988
[926831100,1],
 
4989
[926852700,1],
 
4990
[926874300,1],
 
4991
[926895900,1],
 
4992
[926917500,1],
 
4993
[926939100,1],
 
4994
[926960700,1],
 
4995
[926982300,1],
 
4996
[927003900,1],
 
4997
[927025500,1],
 
4998
[927047100,1],
 
4999
[927068700,1],
 
5000
[927090300,1],
 
5001
[927111900,1],
 
5002
[927133500,1],
 
5003
[927155100,1],
 
5004
[927176700,1],
 
5005
[927198300,1],
 
5006
[927219900,1],
 
5007
[927241500,1],
 
5008
[927263100,1],
 
5009
[927284700,1],
 
5010
[927306300,1],
 
5011
[927327900,1],
 
5012
[927349500,1],
 
5013
[927371100,1],
 
5014
[927568800,1],
 
5015
[927587100,1],
 
5016
[927608700,1],
 
5017
[927630300,1],
 
5018
[927673500,1],
 
5019
[927695100,1],
 
5020
[927716700,1],
 
5021
[927738300,1],
 
5022
[927759900,1],
 
5023
[927781500,1],
 
5024
[927803100,1],
 
5025
[927846300,1],
 
5026
[927867900,1],
 
5027
[927889500,1],
 
5028
[927932700,1],
 
5029
[927954300,1],
 
5030
[927975900,1],
 
5031
[927997500,1],
 
5032
[928019100,1],
 
5033
[928040700,1],
 
5034
[928062300,1],
 
5035
[928083900,1],
 
5036
[928256700,1],
 
5037
[928278300,1],
 
5038
[928299900,1],
 
5039
[928321500,1],
 
5040
[928343100,1],
 
5041
[928364700,1],
 
5042
[928386300,1],
 
5043
[928407900,1],
 
5044
[928429500,1],
 
5045
[928450800,1],
 
5046
[928472700,1],
 
5047
[928494300,1],
 
5048
[928515900,1],
 
5049
[928537500,1],
 
5050
[928559100,1],
 
5051
[928580700,1],
 
5052
[928602300,1],
 
5053
[928623900,1],
 
5054
[928645500,1],
 
5055
[928667100,1],
 
5056
[928688700,1],
 
5057
[928710300,1],
 
5058
[928731900,1],
 
5059
[928753500,1],
 
5060
[928775100,1],
 
5061
[928796700,1],
 
5062
[928818300,1],
 
5063
[928839900,1],
 
5064
[928861500,1],
 
5065
[928883100,1],
 
5066
[928904700,1],
 
5067
[928926300,1],
 
5068
[928947900,1],
 
5069
[928991100,1],
 
5070
[929012700,1],
 
5071
[929034300,1],
 
5072
[929055900,1],
 
5073
[929077500,1],
 
5074
[929099100,1],
 
5075
[929120700,1],
 
5076
[929142300,1],
 
5077
[929163900,1],
 
5078
[929185500,1],
 
5079
[929207100,1],
 
5080
[929228700,1],
 
5081
[929250300,1],
 
5082
[929271900,1],
 
5083
[929293500,1],
 
5084
[929315100,1],
 
5085
[929336700,1],
 
5086
[929358300,1],
 
5087
[929379900,1],
 
5088
[929401500,1],
 
5089
[929423100,1],
 
5090
[929444700,1],
 
5091
[929466300,1],
 
5092
[929487900,1],
 
5093
[929509500,1],
 
5094
[929531100,1],
 
5095
[929552700,1],
 
5096
[929574300,1],
 
5097
[929595900,1],
 
5098
[929617500,1],
 
5099
[929639100,1],
 
5100
[929660700,1],
 
5101
[929682300,1],
 
5102
[929703900,1],
 
5103
[929725500,1],
 
5104
[929747100,1],
 
5105
[929768700,1],
 
5106
[929790300,1],
 
5107
[929811900,1],
 
5108
[929833500,1],
 
5109
[929855100,1],
 
5110
[929876700,1],
 
5111
[929898300,1],
 
5112
[929919900,1],
 
5113
[929941500,1],
 
5114
[929963100,1],
 
5115
[929984700,1],
 
5116
[930006300,1],
 
5117
[930027900,1],
 
5118
[930049500,1],
 
5119
[930071100,1],
 
5120
[930092700,1],
 
5121
[930114300,1],
 
5122
[930135900,1],
 
5123
[930157500,1],
 
5124
[930183300,1],
 
5125
[930200700,1],
 
5126
[930222300,1],
 
5127
[930243900,1],
 
5128
[930256800,1],
 
5129
[930260100,1],
 
5130
[930287100,1],
 
5131
[930308700,1],
 
5132
[930330300,1],
 
5133
[930351900,1],
 
5134
[930373500,1],
 
5135
[930395100,1],
 
5136
[930416700,1],
 
5137
[930438300,1],
 
5138
[930459900,1],
 
5139
[930481500,1],
 
5140
[930503100,1],
 
5141
[930546300,1],
 
5142
[930567900,1],
 
5143
[930589500,1],
 
5144
[930611100,1],
 
5145
[930632700,1],
 
5146
[930654300,1],
 
5147
[930675900,1],
 
5148
[930697500,1],
 
5149
[930719100,1],
 
5150
[930740700,1],
 
5151
[930762300,1],
 
5152
[930783900,1],
 
5153
[930805500,1],
 
5154
[930827100,1],
 
5155
[930848700,1],
 
5156
[930870300,1],
 
5157
[930891900,1],
 
5158
[930913500,1],
 
5159
[930935100,1],
 
5160
[930959100,1],
 
5161
[930978300,1],
 
5162
[930999900,1],
 
5163
[931021500,1],
 
5164
[931043100,1],
 
5165
[931064700,1],
 
5166
[931086300,1],
 
5167
[931107900,1],
 
5168
[931129500,1],
 
5169
[931151100,1],
 
5170
[931172700,1],
 
5171
[931194300,1],
 
5172
[931215900,1],
 
5173
[931237500,1],
 
5174
[931259100,1],
 
5175
[931280700,1],
 
5176
[931302300,1],
 
5177
[931323900,1],
 
5178
[931345500,1],
 
5179
[931388700,1],
 
5180
[931410300,1],
 
5181
[931431900,1],
 
5182
[931453500,1],
 
5183
[931475100,1],
 
5184
[931496700,1],
 
5185
[931518300,1],
 
5186
[931539900,1],
 
5187
[931561500,1],
 
5188
[931583100,1],
 
5189
[931604700,1],
 
5190
[931626300,1],
 
5191
[931669500,1],
 
5192
[931691100,1],
 
5193
[931712700,1],
 
5194
[931734300,1],
 
5195
[931755900,1],
 
5196
[931777500,1],
 
5197
[931799100,1],
 
5198
[931820700,1],
 
5199
[931842300,1],
 
5200
[931863900,1],
 
5201
[931885500,1],
 
5202
[931907100,1],
 
5203
[931928700,1],
 
5204
[931950300,1],
 
5205
[931971900,1],
 
5206
[931993500,1],
 
5207
[932015100,1],
 
5208
[932036700,1],
 
5209
[932058300,1],
 
5210
[932080200,1],
 
5211
[932101500,1],
 
5212
[932123100,1],
 
5213
[932144700,1],
 
5214
[932166300,1],
 
5215
[932187900,1],
 
5216
[932209500,1],
 
5217
[932231100,1],
 
5218
[932252700,1],
 
5219
[932274300,1],
 
5220
[932295900,1],
 
5221
[932317500,1],
 
5222
[932339100,1],
 
5223
[932360700,1],
 
5224
[932382300,1],
 
5225
[932403900,1],
 
5226
[932425500,1],
 
5227
[932447100,1],
 
5228
[932468700,1],
 
5229
[932490300,1],
 
5230
[932511900,1],
 
5231
[932533500,1],
 
5232
[932555100,1],
 
5233
[932576700,1],
 
5234
[932598300,1],
 
5235
[932619900,1],
 
5236
[932663100,1],
 
5237
[932684700,1],
 
5238
[932706300,1],
 
5239
[932727900,1],
 
5240
[932749500,1],
 
5241
[932771100,1],
 
5242
[932792700,1],
 
5243
[932814300,1],
 
5244
[932835900,1],
 
5245
[932857500,1],
 
5246
[932879100,1],
 
5247
[932900700,1],
 
5248
[932922300,1],
 
5249
[932943900,1],
 
5250
[932965500,1],
 
5251
[932987100,1],
 
5252
[933008700,1],
 
5253
[933030300,1],
 
5254
[933051900,1],
 
5255
[933073500,1],
 
5256
[933095100,1],
 
5257
[933116700,1],
 
5258
[933138300,1],
 
5259
[933159900,1],
 
5260
[933181500,1],
 
5261
[933203100,1],
 
5262
[933224700,1],
 
5263
[933246300,1],
 
5264
[933267900,1],
 
5265
[933289500,1],
 
5266
[933311100,1],
 
5267
[933332700,1],
 
5268
[933354300,1],
 
5269
[933375900,1],
 
5270
[933397500,1],
 
5271
[933419100,1],
 
5272
[933440700,1],
 
5273
[933462300,1],
 
5274
[933483900,1],
 
5275
[933505500,1],
 
5276
[933527100,1],
 
5277
[933548700,1],
 
5278
[933570300,1],
 
5279
[933591900,1],
 
5280
[933613500,1],
 
5281
[933635100,1],
 
5282
[933656700,1],
 
5283
[933678300,1],
 
5284
[933699900,1],
 
5285
[933721500,1],
 
5286
[933743100,1],
 
5287
[933764700,1],
 
5288
[933786300,1],
 
5289
[933807900,1],
 
5290
[933829500,1],
 
5291
[933851100,1],
 
5292
[933872700,1],
 
5293
[933895200,1],
 
5294
[933915900,1],
 
5295
[933937500,1],
 
5296
[933959100,1],
 
5297
[933980700,1],
 
5298
[934002300,1],
 
5299
[934023900,1],
 
5300
[934045500,1],
 
5301
[934067100,1],
 
5302
[934153500,1],
 
5303
[934175100,1],
 
5304
[934196700,1],
 
5305
[934218300,1],
 
5306
[934239900,1],
 
5307
[934261500,1],
 
5308
[934283100,1],
 
5309
[934304700,1],
 
5310
[934326300,1],
 
5311
[934347900,1],
 
5312
[934367700,1],
 
5313
[934373400,1],
 
5314
[934383600,1],
 
5315
[934386600,1],
 
5316
[934389000,1],
 
5317
[934412700,1],
 
5318
[934434300,1],
 
5319
[934455900,1],
 
5320
[934477500,1],
 
5321
[934499100,1],
 
5322
[934520700,1],
 
5323
[934542300,1],
 
5324
[934563900,1],
 
5325
[934585500,1],
 
5326
[934607100,1],
 
5327
[934628700,1],
 
5328
[934650300,1],
 
5329
[934671900,1],
 
5330
[934693500,1],
 
5331
[934715100,1],
 
5332
[934736700,1],
 
5333
[934758300,1],
 
5334
[934779900,1],
 
5335
[934801500,1],
 
5336
[934823100,1],
 
5337
[934844700,1],
 
5338
[934866300,1],
 
5339
[934887900,1],
 
5340
[934909500,1],
 
5341
[934931100,1],
 
5342
[934952700,1],
 
5343
[934974300,1],
 
5344
[934995900,1],
 
5345
[935012700,1],
 
5346
[935013300,1],
 
5347
[935013900,1],
 
5348
[935014500,1],
 
5349
[935015100,1],
 
5350
[935015700,1],
 
5351
[935017500,1],
 
5352
[935025000,1],
 
5353
[935039100,1],
 
5354
[935060700,1],
 
5355
[935082300,1],
 
5356
[935090700,1],
 
5357
[935097000,1],
 
5358
[935103900,1],
 
5359
[935111400,1],
 
5360
[935125500,1],
 
5361
[935147100,1],
 
5362
[935168700,1],
 
5363
[935190300,1],
 
5364
[935211900,1],
 
5365
[935233500,1],
 
5366
[935255100,1],
 
5367
[935276700,1],
 
5368
[935298300,1],
 
5369
[935319900,1],
 
5370
[935341500,1],
 
5371
[935363100,1],
 
5372
[935384700,1],
 
5373
[935406300,1],
 
5374
[935427900,1],
 
5375
[935435400,1],
 
5376
[935442600,1],
 
5377
[935449500,1],
 
5378
[935457000,1],
 
5379
[935471100,1],
 
5380
[935492700,1],
 
5381
[935514300,1],
 
5382
[935521800,1],
 
5383
[935529000,1],
 
5384
[935535900,1],
 
5385
[935543400,1],
 
5386
[935557500,1],
 
5387
[935579100,1],
 
5388
[935600700,1],
 
5389
[935608200,1],
 
5390
[935615400,1],
 
5391
[935622300,1],
 
5392
[935629800,1],
 
5393
[935643900,1],
 
5394
[935665500,1],
 
5395
[935687100,1],
 
5396
[935694600,1],
 
5397
[935701800,1],
 
5398
[935708700,1],
 
5399
[935716200,1],
 
5400
[935730300,1],
 
5401
[935751900,1],
 
5402
[935773500,1],
 
5403
[935781000,1],
 
5404
[935788200,1],
 
5405
[935795100,1],
 
5406
[935802600,1],
 
5407
[935816700,1],
 
5408
[935859900,1],
 
5409
[935881500,1],
 
5410
[935903100,1],
 
5411
[935924700,1],
 
5412
[935946300,1],
 
5413
[935967900,1],
 
5414
[935989500,1],
 
5415
[936011100,1],
 
5416
[936032700,1],
 
5417
[936040200,1],
 
5418
[936047400,1],
 
5419
[936054300,1],
 
5420
[936061800,1],
 
5421
[936075900,1],
 
5422
[936097500,1],
 
5423
[936119100,1],
 
5424
[936126600,1],
 
5425
[936133800,1],
 
5426
[936140700,1],
 
5427
[936162300,1],
 
5428
[936183900,1],
 
5429
[936205500,1],
 
5430
[936229800,1],
 
5431
[936248700,1],
 
5432
[936270300,1],
 
5433
[936291900,1],
 
5434
[936299400,1],
 
5435
[936306600,1],
 
5436
[936313500,1],
 
5437
[936321000,1],
 
5438
[936335100,1],
 
5439
[936356700,1],
 
5440
[936378300,1],
 
5441
[936385800,1],
 
5442
[936393000,1],
 
5443
[936399900,1],
 
5444
[936407100,1],
 
5445
[936421500,1],
 
5446
[936443100,1],
 
5447
[936464700,1],
 
5448
[936486300,1],
 
5449
[936507900,1],
 
5450
[936529500,1],
 
5451
[936551100,1],
 
5452
[936572700,1],
 
5453
[936594300,1],
 
5454
[936615900,1],
 
5455
[936637500,1],
 
5456
[936659100,1],
 
5457
[936680700,1],
 
5458
[936702300,1],
 
5459
[936723900,1],
 
5460
[936731400,1],
 
5461
[936738600,1],
 
5462
[936746100,1],
 
5463
[936767100,1],
 
5464
[936788700,1],
 
5465
[936810300,1],
 
5466
[936817800,1],
 
5467
[936825000,1],
 
5468
[936831900,1],
 
5469
[936875100,1],
 
5470
[936896700,1],
 
5471
[936904200,1],
 
5472
[936918300,1],
 
5473
[936925800,1],
 
5474
[936939900,1],
 
5475
[936961500,1],
 
5476
[936983100,1],
 
5477
[936990600,1],
 
5478
[936997800,1],
 
5479
[937004700,1],
 
5480
[937012200,1],
 
5481
[937026300,1],
 
5482
[937047900,1],
 
5483
[937069500,1],
 
5484
[937091100,1],
 
5485
[937112700,1],
 
5486
[937134300,1],
 
5487
[937155900,1],
 
5488
[937177500,1],
 
5489
[937199100,1],
 
5490
[937220700,1],
 
5491
[937242300,1],
 
5492
[937249800,1],
 
5493
[937263900,1],
 
5494
[937271400,1],
 
5495
[937285500,1],
 
5496
[937307100,1],
 
5497
[937328700,1],
 
5498
[937336200,1],
 
5499
[937343400,1],
 
5500
[937350300,1],
 
5501
[937357800,1],
 
5502
[937371900,1],
 
5503
[937393500,1],
 
5504
[937415100,1],
 
5505
[937436700,1],
 
5506
[937458300,1],
 
5507
[937479900,1],
 
5508
[937501500,1],
 
5509
[937523100,1],
 
5510
[937544700,1],
 
5511
[937566300,1],
 
5512
[937587900,1],
 
5513
[937609500,1],
 
5514
[937631100,1],
 
5515
[937652700,1],
 
5516
[937674300,1],
 
5517
[937695900,1],
 
5518
[937717500,1],
 
5519
[937739100,1],
 
5520
[937782300,1],
 
5521
[937803900,1],
 
5522
[937825500,1],
 
5523
[937847100,1],
 
5524
[937868700,1],
 
5525
[937890300,1],
 
5526
[937911900,1],
 
5527
[937933500,1],
 
5528
[937955100,1],
 
5529
[937976700,1],
 
5530
[937998300,1],
 
5531
[938019900,1],
 
5532
[938041500,1],
 
5533
[938063100,1],
 
5534
[938084700,1],
 
5535
[938106300,1],
 
5536
[938127900,1],
 
5537
[938149500,1],
 
5538
[938171100,1],
 
5539
[938192700,1],
 
5540
[938214300,1],
 
5541
[938235900,1],
 
5542
[938257500,1],
 
5543
[938279100,1],
 
5544
[938300700,1],
 
5545
[938322300,1],
 
5546
[938343900,1],
 
5547
[938365500,1],
 
5548
[938387100,1],
 
5549
[938408700,1],
 
5550
[938430300,1],
 
5551
[938451900,1],
 
5552
[938473500,1],
 
5553
[938495100,1],
 
5554
[938516700,1],
 
5555
[938538300,1],
 
5556
[938559900,1],
 
5557
[938581500,1],
 
5558
[938603100,1],
 
5559
[938650500,1],
 
5560
[938667900,1],
 
5561
[938689500,1],
 
5562
[938711100,1],
 
5563
[938732700,1],
 
5564
[938754300,1],
 
5565
[938775900,1],
 
5566
[938797500,1],
 
5567
[938819100,1],
 
5568
[938840700,1],
 
5569
[938862300,1],
 
5570
[938878500,1],
 
5571
[938905500,1],
 
5572
[938927100,1],
 
5573
[938948700,1],
 
5574
[938970300,1],
 
5575
[938991900,1],
 
5576
[939013500,1],
 
5577
[939035100,1],
 
5578
[939056700,1],
 
5579
[939078300,1],
 
5580
[939099900,1],
 
5581
[939121500,1],
 
5582
[939143100,1],
 
5583
[939186300,1],
 
5584
[939207900,1],
 
5585
[939229500,1],
 
5586
[939251100,1],
 
5587
[939272700,1],
 
5588
[939294300,1],
 
5589
[939315900,1],
 
5590
[939337500,1],
 
5591
[939380700,1],
 
5592
[939402300,1],
 
5593
[939771000,1],
 
5594
[939791100,1],
 
5595
[939812700,1],
 
5596
[939834300,1],
 
5597
[939877500,1],
 
5598
[939899100,1],
 
5599
[939920700,1],
 
5600
[939944400,1],
 
5601
[939963900,1],
 
5602
[939985500,1],
 
5603
[940007100,1],
 
5604
[940029600,1],
 
5605
[940050300,1],
 
5606
[940071900,1],
 
5607
[940093500,1],
 
5608
[940117500,1],
 
5609
[940136700,1],
 
5610
[940158300,1],
 
5611
[940179900,1],
 
5612
[940201500,1],
 
5613
[940223100,1],
 
5614
[940244700,1],
 
5615
[940266300,1],
 
5616
[940287900,1],
 
5617
[940309500,1],
 
5618
[940331100,1],
 
5619
[940352700,1],
 
5620
[940374300,1],
 
5621
[940395900,1],
 
5622
[940417500,1],
 
5623
[940439100,1],
 
5624
[940460700,1],
 
5625
[940482300,1],
 
5626
[940503900,1],
 
5627
[940525500,1],
 
5628
[940568700,1],
 
5629
[940590300,1],
 
5630
[940611900,1],
 
5631
[940633500,1],
 
5632
[940655100,1],
 
5633
[940676700,1],
 
5634
[940698300,1],
 
5635
[940719900,1],
 
5636
[940741500,1],
 
5637
[940763100,1],
 
5638
[940784700,1],
 
5639
[940806300,1],
 
5640
[940827900,1],
 
5641
[940849500,1],
 
5642
[940871100,1],
 
5643
[940892700,1],
 
5644
[940914300,1],
 
5645
[940935900,1],
 
5646
[940957500,1],
 
5647
[940980000,1],
 
5648
[941000700,1],
 
5649
[941022300,1],
 
5650
[941043900,1],
 
5651
[941066100,1],
 
5652
[941087100,1],
 
5653
[941108700,1],
 
5654
[941130300,1],
 
5655
[941152500,1],
 
5656
[941173500,1],
 
5657
[941195100,1],
 
5658
[941216700,1],
 
5659
[941234700,1],
 
5660
[941522700,1],
 
5661
[941544300,1],
 
5662
[941565900,1],
 
5663
[941587500,1],
 
5664
[941609100,1],
 
5665
[941630700,1],
 
5666
[941652300,1],
 
5667
[941673900,1],
 
5668
[941695500,1],
 
5669
[941717100,1],
 
5670
[941738700,1],
 
5671
[941760300,1],
 
5672
[941781900,1],
 
5673
[941803500,1],
 
5674
[941825100,1],
 
5675
[941846700,1],
 
5676
[941875200,1],
 
5677
[941892000,1],
 
5678
[941912700,1],
 
5679
[941933700,1],
 
5680
[941955000,1],
 
5681
[941976300,1],
 
5682
[941997900,1],
 
5683
[942019500,1],
 
5684
[942041100,1],
 
5685
[942062700,1],
 
5686
[942084300,1],
 
5687
[942105900,1],
 
5688
[942127500,1],
 
5689
[942149100,1],
 
5690
[942170700,1],
 
5691
[942192300,1],
 
5692
[942213900,1],
 
5693
[942235500,1],
 
5694
[942257100,1],
 
5695
[942278700,1],
 
5696
[942300300,1],
 
5697
[942321900,1],
 
5698
[942343500,1],
 
5699
[942365100,1],
 
5700
[942386700,1],
 
5701
[942408300,1],
 
5702
[942429900,1],
 
5703
[942451500,1],
 
5704
[942494700,1],
 
5705
[942516300,1],
 
5706
[942537900,1],
 
5707
[942559500,1],
 
5708
[942581100,1],
 
5709
[942602700,1],
 
5710
[942646800,1],
 
5711
[942667500,1],
 
5712
[942689100,1],
 
5713
[942705300,1],
 
5714
[942735900,1],
 
5715
[942753900,1],
 
5716
[943143600,1],
 
5717
[943164300,1],
 
5718
[943185900,1],
 
5719
[943207500,1],
 
5720
[943229100,1],
 
5721
[943250700,1],
 
5722
[943272300,1],
 
5723
[943293900,1],
 
5724
[943315500,1],
 
5725
[943337100,1],
 
5726
[943358700,1],
 
5727
[943380300,1],
 
5728
[943401900,1],
 
5729
[943423500,1],
 
5730
[943445100,1],
 
5731
[943466700,1],
 
5732
[943488300,1],
 
5733
[943509900,1],
 
5734
[943531500,1],
 
5735
[943553100,1],
 
5736
[943574700,1],
 
5737
[943596300,1],
 
5738
[943617900,1],
 
5739
[943639500,1],
 
5740
[943661100,1],
 
5741
[943682700,1],
 
5742
[943704300,1],
 
5743
[943725900,1],
 
5744
[943747500,1],
 
5745
[943769100,1],
 
5746
[943790700,1],
 
5747
[943935900,1],
 
5748
[944216100,1],
 
5749
[944222700,1],
 
5750
[944244300,1],
 
5751
[944265900,1],
 
5752
[944287500,1],
 
5753
[944309100,1],
 
5754
[944330700,1],
 
5755
[944352300,1],
 
5756
[944373900,1],
 
5757
[944395500,1],
 
5758
[944417100,1],
 
5759
[944438700,1],
 
5760
[944460300,1],
 
5761
[944503500,1],
 
5762
[944525100,1],
 
5763
[944546700,1],
 
5764
[944568300,1],
 
5765
[944589900,1],
 
5766
[944611500,1],
 
5767
[944633100,1],
 
5768
[944654700,1],
 
5769
[944676300,1],
 
5770
[944697900,1],
 
5771
[944719500,1],
 
5772
[944741100,1],
 
5773
[944762700,1],
 
5774
[944784300,1],
 
5775
[944805900,1],
 
5776
[944827500,1],
 
5777
[944849100,1],
 
5778
[944870700,1],
 
5779
[944892600,1],
 
5780
[944913900,1],
 
5781
[944935500,1],
 
5782
[944957100,1],
 
5783
[944978700,1],
 
5784
[945000300,1],
 
5785
[945021900,1],
 
5786
[945043500,1],
 
5787
[945065100,1],
 
5788
[945086700,1],
 
5789
[945108300,1],
 
5790
[945129900,1],
 
5791
[945151500,1],
 
5792
[945173100,1],
 
5793
[945194700,1],
 
5794
[945216300,1],
 
5795
[945237900,1],
 
5796
[945259500,1],
 
5797
[945281100,1],
 
5798
[945302700,1],
 
5799
[945324300,1],
 
5800
[945345900,1],
 
5801
[945367500,1],
 
5802
[945389100,1],
 
5803
[945410700,1],
 
5804
[945432300,1],
 
5805
[945453900,1],
 
5806
[945475500,1],
 
5807
[945497100,1],
 
5808
[945518700,1],
 
5809
[945540300,1],
 
5810
[945561900,1],
 
5811
[945583500,1],
 
5812
[945605100,1],
 
5813
[945626700,1],
 
5814
[945648300,1],
 
5815
[945669900,1],
 
5816
[945691500,1],
 
5817
[945713100,1],
 
5818
[945756300,1],
 
5819
[945777900,1],
 
5820
[945799500,1],
 
5821
[945821100,1],
 
5822
[945842700,1],
 
5823
[945864300,1],
 
5824
[945885900,1],
 
5825
[945907500,1],
 
5826
[945929100,1],
 
5827
[945950700,1],
 
5828
[945972300,1],
 
5829
[945993900,1],
 
5830
[946015500,1],
 
5831
[946037100,1],
 
5832
[946058700,1],
 
5833
[946080300,1],
 
5834
[946101900,1],
 
5835
[946123500,1],
 
5836
[946145100,1],
 
5837
[946166700,1],
 
5838
[946188300,1],
 
5839
[946209900,1],
 
5840
[946231500,1],
 
5841
[946253100,1],
 
5842
[946274700,1],
 
5843
[946296300,1],
 
5844
[946317900,1],
 
5845
[946339500,1],
 
5846
[946361100,1],
 
5847
[946382700,1],
 
5848
[946404300,1],
 
5849
[946425900,1],
 
5850
[946447500,1],
 
5851
[946469100,1],
 
5852
[946490700,1],
 
5853
[946512300,1],
 
5854
[946555500,1],
 
5855
[946577100,1],
 
5856
[946598700,1],
 
5857
[946620300,1],
 
5858
[946641900,1],
 
5859
[946663500,1],
 
5860
[946685100,1],
 
5861
[946706700,1],
 
5862
[946728300,1],
 
5863
[946749900,1],
 
5864
[946771500,1],
 
5865
[946793100,1],
 
5866
[946814700,1],
 
5867
[946836300,1],
 
5868
[946857900,1],
 
5869
[946879500,1],
 
5870
[946901100,1],
 
5871
[946922700,1],
 
5872
[946944300,1],
 
5873
[946965900,1],
 
5874
[946987500,1],
 
5875
[947009100,1],
 
5876
[947030700,1],
 
5877
[947052300,1],
 
5878
[947073900,1],
 
5879
[947095500,1],
 
5880
[947117100,1],
 
5881
[947138700,1],
 
5882
[947160300,1],
 
5883
[947181900,1],
 
5884
[947203500,1],
 
5885
[947316300,1],
 
5886
[947333100,1],
 
5887
[947354700,1],
 
5888
[947376300,1],
 
5889
[947397900,1],
 
5890
[947419500,1],
 
5891
[947441100,1],
 
5892
[947462700,1],
 
5893
[947484300,1],
 
5894
[947505900,1],
 
5895
[947527500,1],
 
5896
[947549100,1],
 
5897
[947570700,1],
 
5898
[947592300,1],
 
5899
[947613900,1],
 
5900
[947635500,1],
 
5901
[947657100,1],
 
5902
[947678700,1],
 
5903
[947700300,1],
 
5904
[947721900,1],
 
5905
[947743500,1],
 
5906
[947765100,1],
 
5907
[947786700,1],
 
5908
[947808300,1],
 
5909
[947829900,1],
 
5910
[947851500,1],
 
5911
[947873100,1],
 
5912
[947894700,1],
 
5913
[947920200,1],
 
5914
[947938800,1],
 
5915
[947959500,1],
 
5916
[947981100,1],
 
5917
[948002700,1],
 
5918
[948024300,1],
 
5919
[948045900,1],
 
5920
[948067500,1],
 
5921
[948089100,1],
 
5922
[948110700,1],
 
5923
[948132300,1],
 
5924
[948153900,1],
 
5925
[948175500,1],
 
5926
[948197100,1],
 
5927
[948218700,1],
 
5928
[948240300,1],
 
5929
[948261900,1],
 
5930
[948283500,1],
 
5931
[948305100,1],
 
5932
[948326700,1],
 
5933
[948348300,1],
 
5934
[948369900,1],
 
5935
[948391500,1],
 
5936
[948413100,1],
 
5937
[948434700,1],
 
5938
[948456300,1],
 
5939
[948477900,1],
 
5940
[948499500,1],
 
5941
[948521100,1],
 
5942
[948542700,1],
 
5943
[948564300,1],
 
5944
[948585900,1],
 
5945
[948607500,1],
 
5946
[948629100,1],
 
5947
[948650700,1],
 
5948
[948672300,1],
 
5949
[948693900,1],
 
5950
[948715500,1],
 
5951
[948737100,1],
 
5952
[948758700,1],
 
5953
[948780300,1],
 
5954
[948801900,1],
 
5955
[948823500,1],
 
5956
[948845100,1],
 
5957
[948866700,1],
 
5958
[948888300,1],
 
5959
[948909900,1],
 
5960
[948931500,1],
 
5961
[948953100,1],
 
5962
[948974700,1],
 
5963
[948996300,1],
 
5964
[949017900,1],
 
5965
[949039500,1],
 
5966
[949061100,1],
 
5967
[949082700,1],
 
5968
[949104300,1],
 
5969
[949125900,1],
 
5970
[949147500,1],
 
5971
[949169100,1],
 
5972
[949190700,1],
 
5973
[949212300,1],
 
5974
[949233900,1],
 
5975
[949255500,1],
 
5976
[949277100,1],
 
5977
[949298700,1],
 
5978
[949320300,1],
 
5979
[949341900,1],
 
5980
[949363500,1],
 
5981
[949385100,1],
 
5982
[949406700,1],
 
5983
[949428300,1],
 
5984
[949449900,1],
 
5985
[949471500,1],
 
5986
[949493100,1],
 
5987
[949514700,1],
 
5988
[949536300,1],
 
5989
[949557900,1],
 
5990
[949579500,1],
 
5991
[949601100,1],
 
5992
[949644300,1],
 
5993
[949665900,1],
 
5994
[949687500,1],
 
5995
[949977600,1],
 
5996
[949989900,1],
 
5997
[950011500,1],
 
5998
[950033100,1],
 
5999
[950043300,1],
 
6000
[950076300,1],
 
6001
[950097900,1],
 
6002
[950119500,1],
 
6003
[950141100,1],
 
6004
[950162700,1],
 
6005
[950184300,1],
 
6006
[950205900,1],
 
6007
[950227500,1],
 
6008
[950249100,1],
 
6009
[950270700,1],
 
6010
[950292300,1],
 
6011
[950313900,1],
 
6012
[950335500,1],
 
6013
[950357100,1],
 
6014
[950378700,1],
 
6015
[950400300,1],
 
6016
[950421900,1],
 
6017
[950443500,1],
 
6018
[950465100,1],
 
6019
[950486700,1],
 
6020
[950508300,1],
 
6021
[950529900,1],
 
6022
[950551500,1],
 
6023
[950573100,1],
 
6024
[950594700,1],
 
6025
[950616300,1],
 
6026
[950637900,1],
 
6027
[950659500,1],
 
6028
[950681100,1],
 
6029
[950702700,1],
 
6030
[950724300,1],
 
6031
[950745900,1],
 
6032
[950767500,1],
 
6033
[950789100,1],
 
6034
[950810700,1],
 
6035
[950832300,1],
 
6036
[950853900,1],
 
6037
[950875500,1],
 
6038
[950897100,1],
 
6039
[950918700,1],
 
6040
[950940300,1],
 
6041
[950961900,1],
 
6042
[950983500,1],
 
6043
[951005100,1],
 
6044
[951026700,1],
 
6045
[951048300,1],
 
6046
[951069900,1],
 
6047
[951091500,1],
 
6048
[951113100,1],
 
6049
[951134700,1],
 
6050
[951156300,1],
 
6051
[951177900,1],
 
6052
[951199500,1],
 
6053
[951221100,1],
 
6054
[951242700,1],
 
6055
[951264300,1],
 
6056
[951285900,1],
 
6057
[951307500,1],
 
6058
[951329100,1],
 
6059
[951350700,1],
 
6060
[951372300,1],
 
6061
[951393900,1],
 
6062
[951415500,1],
 
6063
[951437100,1],
 
6064
[951458700,1],
 
6065
[951480300,1],
 
6066
[951501900,1],
 
6067
[951523500,1],
 
6068
[951545100,1],
 
6069
[951566700,1],
 
6070
[951588300,1],
 
6071
[951609900,1],
 
6072
[951631500,1],
 
6073
[951653100,1],
 
6074
[951674700,1],
 
6075
[951696300,1],
 
6076
[951717900,1],
 
6077
[951739500,1],
 
6078
[951761100,1],
 
6079
[951782700,1],
 
6080
[951804300,1],
 
6081
[951825900,1],
 
6082
[951847500,1],
 
6083
[951869100,1],
 
6084
[951890700,1],
 
6085
[951912300,1],
 
6086
[951933900,1],
 
6087
[951955500,1],
 
6088
[951977100,1],
 
6089
[951998700,1],
 
6090
[952020300,1],
 
6091
[952041900,1],
 
6092
[952063500,1],
 
6093
[952085100,1],
 
6094
[952106700,1],
 
6095
[952128300,1],
 
6096
[952149900,1],
 
6097
[952171500,1],
 
6098
[952193100,1],
 
6099
[952214700,1],
 
6100
[952236300,1],
 
6101
[952257900,1],
 
6102
[952279500,1],
 
6103
[952301100,1],
 
6104
[952322700,1],
 
6105
[952344300,1],
 
6106
[952365900,1],
 
6107
[952387500,1],
 
6108
[952409100,1],
 
6109
[952430700,1],
 
6110
[952452300,1],
 
6111
[952473900,1],
 
6112
[952495500,1],
 
6113
[952517100,1],
 
6114
[952538700,1],
 
6115
[952560300,1],
 
6116
[952581900,1],
 
6117
[952603500,1],
 
6118
[952625100,1],
 
6119
[952646700,1],
 
6120
[952668300,1],
 
6121
[952689900,1],
 
6122
[952711500,1],
 
6123
[952733100,1],
 
6124
[952754700,1],
 
6125
[952776300,1],
 
6126
[952797900,1],
 
6127
[952819500,1],
 
6128
[952841100,1],
 
6129
[952862700,1],
 
6130
[952884300,1],
 
6131
[952905900,1],
 
6132
[952927500,1],
 
6133
[952949100,1],
 
6134
[952970700,1],
 
6135
[952992300,1],
 
6136
[953013900,1],
 
6137
[953035500,1],
 
6138
[953057100,1],
 
6139
[953078700,1],
 
6140
[953100300,1],
 
6141
[953121900,1],
 
6142
[953143500,1],
 
6143
[953165100,1],
 
6144
[953186700,1],
 
6145
[953208300,1],
 
6146
[953229900,1],
 
6147
[953251500,1],
 
6148
[953273100,1],
 
6149
[953294700,1],
 
6150
[953316300,1],
 
6151
[953337900,1],
 
6152
[953359500,1],
 
6153
[953381100,1],
 
6154
[953402700,1],
 
6155
[953424300,1],
 
6156
[953445900,1],
 
6157
[953467500,1],
 
6158
[953489100,1],
 
6159
[953510700,1],
 
6160
[953532300,1],
 
6161
[953553900,1],
 
6162
[953575500,1],
 
6163
[953597100,1],
 
6164
[953618700,1],
 
6165
[953640300,1],
 
6166
[953661900,1],
 
6167
[953683500,1],
 
6168
[953705100,1],
 
6169
[953726700,1],
 
6170
[953748300,1],
 
6171
[953769900,1],
 
6172
[953936400,1],
 
6173
[954050700,1],
 
6174
[954072300,1],
 
6175
[954093900,1],
 
6176
[954115500,1],
 
6177
[954137100,1],
 
6178
[954158700,1],
 
6179
[954180300,1],
 
6180
[954201900,1],
 
6181
[954224100,1],
 
6182
[954245100,1],
 
6183
[954266700,1],
 
6184
[954310500,1],
 
6185
[954331500,1],
 
6186
[954353100,1],
 
6187
[954374700,1],
 
6188
[954396300,1],
 
6189
[954417900,1],
 
6190
[954439500,1],
 
6191
[954461100,1],
 
6192
[954482700,1],
 
6193
[954504300,1],
 
6194
[954525900,1],
 
6195
[954547500,1],
 
6196
[954554700,1],
 
6197
[954573000,1],
 
6198
[954590700,1],
 
6199
[954612300,1],
 
6200
[954633900,1],
 
6201
[954655500,1],
 
6202
[954673500,1],
 
6203
[954695100,1],
 
6204
[954716700,1],
 
6205
[954738300,1],
 
6206
[954759900,1],
 
6207
[954781500,1],
 
6208
[954803100,1],
 
6209
[954824700,1],
 
6210
[954846300,1],
 
6211
[954867900,1],
 
6212
[954889500,1],
 
6213
[954911100,1],
 
6214
[954932700,1],
 
6215
[954953400,1],
 
6216
[954975900,1],
 
6217
[954997500,1],
 
6218
[955019100,1],
 
6219
[955040700,1],
 
6220
[955062300,1],
 
6221
[955083900,1],
 
6222
[955105500,1],
 
6223
[955127100,1],
 
6224
[955148700,1],
 
6225
[955170300,1],
 
6226
[955191900,1],
 
6227
[955214100,1],
 
6228
[955235100,1],
 
6229
[955256700,1],
 
6230
[955278300,1],
 
6231
[955299900,1],
 
6232
[955321500,1],
 
6233
[955343100,1],
 
6234
[955364700,1],
 
6235
[955386300,1],
 
6236
[955407900,1],
 
6237
[955429500,1],
 
6238
[955451100,1],
 
6239
[955472700,1],
 
6240
[955494300,1],
 
6241
[955515900,1],
 
6242
[955537500,1],
 
6243
[955559100,1],
 
6244
[955583700,1],
 
6245
[955602300,1],
 
6246
[955623900,1],
 
6247
[955645500,1],
 
6248
[955667100,1],
 
6249
[955688700,1],
 
6250
[955710300,1],
 
6251
[955731900,1],
 
6252
[955753500,1],
 
6253
[955775100,1],
 
6254
[955796700,1],
 
6255
[955818300,1],
 
6256
[955839900,1],
 
6257
[955861500,1],
 
6258
[955883100,1],
 
6259
[955904700,1],
 
6260
[955926300,1],
 
6261
[955947900,1],
 
6262
[955969500,1],
 
6263
[955991100,1],
 
6264
[956012700,1],
 
6265
[956034300,1],
 
6266
[956055900,1],
 
6267
[956077500,1],
 
6268
[956099100,1],
 
6269
[956120700,1],
 
6270
[956142300,1],
 
6271
[956163900,1],
 
6272
[956185500,1],
 
6273
[956207100,1],
 
6274
[956228700,1],
 
6275
[956250300,1],
 
6276
[956272500,1],
 
6277
[956293500,1],
 
6278
[956315100,1],
 
6279
[956336700,1],
 
6280
[956358900,1],
 
6281
[956379900,1],
 
6282
[956401500,1],
 
6283
[956423100,1],
 
6284
[956444700,1],
 
6285
[956466300,1],
 
6286
[956487900,1],
 
6287
[956509500,1],
 
6288
[956531100,1],
 
6289
[956552700,1],
 
6290
[956574300,1],
 
6291
[956595900,1],
 
6292
[956617500,1],
 
6293
[956639100,1],
 
6294
[956660700,1],
 
6295
[956682300,1],
 
6296
[956703900,1],
 
6297
[956725500,1],
 
6298
[956747100,1],
 
6299
[956768700,1],
 
6300
[956791500,1],
 
6301
[956811900,1],
 
6302
[956833500,1],
 
6303
[956855100,1],
 
6304
[956876700,1],
 
6305
[956898300,1],
 
6306
[956919900,1],
 
6307
[956941500,1],
 
6308
[956963100,1],
 
6309
[956985300,1],
 
6310
[957006300,1],
 
6311
[957027900,1],
 
6312
[957049500,1],
 
6313
[957071100,1],
 
6314
[957092700,1],
 
6315
[957114300,1],
 
6316
[957135900,1],
 
6317
[957157500,1],
 
6318
[957179100,1],
 
6319
[957200700,1],
 
6320
[957222300,1],
 
6321
[957243900,1],
 
6322
[957265500,1],
 
6323
[957287100,1],
 
6324
[957311100,1],
 
6325
[957330300,1],
 
6326
[957351900,1],
 
6327
[957373500,1],
 
6328
[957395100,1],
 
6329
[957416700,1],
 
6330
[957438300,1],
 
6331
[957459900,1],
 
6332
[957482400,1],
 
6333
[957503100,1],
 
6334
[957524700,1],
 
6335
[957546300,1],
 
6336
[957570000,1],
 
6337
[957589500,1],
 
6338
[957611100,1],
 
6339
[957632700,1],
 
6340
[957654300,1],
 
6341
[957675900,1],
 
6342
[957697500,1],
 
6343
[957723300,1],
 
6344
[957740700,1],
 
6345
[957762900,1],
 
6346
[957783900,1],
 
6347
[957807000,1],
 
6348
[957827100,1],
 
6349
[957848700,1],
 
6350
[957870300,1],
 
6351
[957891900,1],
 
6352
[957956700,1],
 
6353
[957978300,1],
 
6354
[957999900,1],
 
6355
[958021500,1],
 
6356
[958043100,1],
 
6357
[958064700,1],
 
6358
[958086300,1],
 
6359
[958107900,1],
 
6360
[958129500,1],
 
6361
[958151100,1],
 
6362
[958172700,1],
 
6363
[958194300,1],
 
6364
[958215900,1],
 
6365
[958237500,1],
 
6366
[958259100,1],
 
6367
[958280700,1],
 
6368
[958302300,1],
 
6369
[958323900,1],
 
6370
[958345500,1],
 
6371
[958367100,1],
 
6372
[958388700,1],
 
6373
[958410300,1],
 
6374
[958431900,1],
 
6375
[958453500,1],
 
6376
[958475100,1],
 
6377
[958496700,1],
 
6378
[958518300,1],
 
6379
[958539900,1],
 
6380
[958561500,1],
 
6381
[958583100,1],
 
6382
[958604700,1],
 
6383
[958626300,1],
 
6384
[958647900,1],
 
6385
[958669500,1],
 
6386
[958691100,1],
 
6387
[958712700,1],
 
6388
[958734300,1],
 
6389
[958755900,1],
 
6390
[958777500,1],
 
6391
[958799100,1],
 
6392
[958820700,1],
 
6393
[958842300,1],
 
6394
[958863900,1],
 
6395
[958885500,1],
 
6396
[958907100,1],
 
6397
[958928700,1],
 
6398
[958950300,1],
 
6399
[958971900,1],
 
6400
[958993500,1],
 
6401
[959015100,1],
 
6402
[959039400,1],
 
6403
[959058300,1],
 
6404
[959079900,1],
 
6405
[959101500,1],
 
6406
[959123100,1],
 
6407
[959144700,1],
 
6408
[959166300,1],
 
6409
[959209500,1],
 
6410
[959231100,1],
 
6411
[959274300,1],
 
6412
[959295900,1],
 
6413
[959317500,1],
 
6414
[959339100,1],
 
6415
[959360700,1],
 
6416
[959382300,1],
 
6417
[959403900,1],
 
6418
[959425500,1],
 
6419
[959447100,1],
 
6420
[959468700,1],
 
6421
[959490300,1],
 
6422
[959511900,1],
 
6423
[959533500,1],
 
6424
[959555100,1],
 
6425
[959576700,1],
 
6426
[959598300,1],
 
6427
[959619900,1],
 
6428
[959641500,1],
 
6429
[959663100,1],
 
6430
[959684700,1],
 
6431
[959706300,1],
 
6432
[959727900,1],
 
6433
[959749500,1],
 
6434
[959771100,1],
 
6435
[959792700,1],
 
6436
[959814300,1],
 
6437
[959835900,1],
 
6438
[959857500,1],
 
6439
[959879100,1],
 
6440
[959900700,1],
 
6441
[959922300,1],
 
6442
[959943900,1],
 
6443
[959965500,1],
 
6444
[959987100,1],
 
6445
[960008700,1],
 
6446
[960030300,1],
 
6447
[960051900,1],
 
6448
[960073500,1],
 
6449
[960095100,1],
 
6450
[960116700,1],
 
6451
[960138300,1],
 
6452
[960159900,1],
 
6453
[960181500,1],
 
6454
[960203100,1],
 
6455
[960224700,1],
 
6456
[960246300,1],
 
6457
[960267900,1],
 
6458
[960289500,1],
 
6459
[960311100,1],
 
6460
[960332700,1],
 
6461
[960354300,1],
 
6462
[960375900,1],
 
6463
[960419100,1],
 
6464
[960440700,1],
 
6465
[960462300,1],
 
6466
[960483900,1],
 
6467
[960505500,1],
 
6468
[960527100,1],
 
6469
[960548700,1],
 
6470
[960570300,1],
 
6471
[960591900,1],
 
6472
[960613500,1],
 
6473
[960635100,1],
 
6474
[960656700,1],
 
6475
[960678300,1],
 
6476
[960699900,1],
 
6477
[960721500,1],
 
6478
[960743100,1],
 
6479
[960764700,1],
 
6480
[960786300,1],
 
6481
[960807900,1],
 
6482
[960829500,1],
 
6483
[960851100,1],
 
6484
[960872700,1],
 
6485
[960894300,1],
 
6486
[960915900,1],
 
6487
[960937500,1],
 
6488
[960959100,1],
 
6489
[960980700,1],
 
6490
[961002300,1],
 
6491
[961023900,1],
 
6492
[961045500,1],
 
6493
[961067100,1],
 
6494
[961088700,1],
 
6495
[961110300,1],
 
6496
[961131900,1],
 
6497
[961153500,1],
 
6498
[961185000,1],
 
6499
[961539300,1],
 
6500
[961563900,1],
 
6501
[961585500,1],
 
6502
[961607100,1],
 
6503
[961628700,1],
 
6504
[961650300,1],
 
6505
[961671900,1],
 
6506
[961693500,1],
 
6507
[961715100,1],
 
6508
[961736700,1],
 
6509
[961758300,1],
 
6510
[961779900,1],
 
6511
[961801500,1],
 
6512
[961827000,1],
 
6513
[961845300,1],
 
6514
[961866300,1],
 
6515
[961887900,1],
 
6516
[961909500,1],
 
6517
[961931100,1],
 
6518
[961952700,1],
 
6519
[961974300,1],
 
6520
[961995900,1],
 
6521
[962017500,1],
 
6522
[962039100,1],
 
6523
[962060700,1],
 
6524
[962082300,1],
 
6525
[962103900,1],
 
6526
[962125500,1],
 
6527
[962147100,1],
 
6528
[962168700,1],
 
6529
[962190300,1],
 
6530
[962211900,1],
 
6531
[962233500,1],
 
6532
[962255100,1],
 
6533
[962276700,1],
 
6534
[962298300,1],
 
6535
[962319900,1],
 
6536
[962320500,1],
 
6537
[962341500,1],
 
6538
[962363100,1],
 
6539
[962384700,1],
 
6540
[962406300,1],
 
6541
[962427900,1],
 
6542
[962449500,1],
 
6543
[962471100,1],
 
6544
[962479800,1],
 
6545
[962481000,1],
 
6546
[962482200,1],
 
6547
[962483400,1],
 
6548
[962484600,1],
 
6549
[962485800,1],
 
6550
[962487000,1],
 
6551
[962488200,1],
 
6552
[962489400,1],
 
6553
[962490600,1],
 
6554
[962491800,1],
 
6555
[962493000,1],
 
6556
[962494200,1],
 
6557
[962495400,1],
 
6558
[962496600,1],
 
6559
[962497800,1],
 
6560
[962499000,1],
 
6561
[962500200,1],
 
6562
[962514300,1],
 
6563
[962535900,1],
 
6564
[962557500,1],
 
6565
[962579100,1],
 
6566
[962600700,1],
 
6567
[962622300,1],
 
6568
[962654400,1],
 
6569
[962655600,1],
 
6570
[962656800,1],
 
6571
[962658000,1],
 
6572
[962659200,1],
 
6573
[962660400,1],
 
6574
[962661600,1],
 
6575
[962662800,1],
 
6576
[962664000,1],
 
6577
[962665200,1],
 
6578
[962666400,1],
 
6579
[962667600,1],
 
6580
[962668800,1],
 
6581
[962670000,1],
 
6582
[962671200,1],
 
6583
[962672400,1],
 
6584
[962687100,1],
 
6585
[962708700,1],
 
6586
[962730300,1],
 
6587
[962751900,1],
 
6588
[962773500,1],
 
6589
[962795100,1],
 
6590
[962816700,1],
 
6591
[962823600,1],
 
6592
[962824800,1],
 
6593
[962826000,1],
 
6594
[962838300,1],
 
6595
[962859900,1],
 
6596
[962881500,1],
 
6597
[962903100,1],
 
6598
[962946300,1],
 
6599
[962967900,1],
 
6600
[962989500,1],
 
6601
[963003900,1],
 
6602
[963032700,1],
 
6603
[963054300,1],
 
6604
[963075900,1],
 
6605
[963082800,1],
 
6606
[963084300,1],
 
6607
[963085500,1],
 
6608
[963087000,1],
 
6609
[963088200,1],
 
6610
[963089400,1],
 
6611
[963090600,1],
 
6612
[963091800,1],
 
6613
[963093000,1],
 
6614
[963094200,1],
 
6615
[963095400,1],
 
6616
[963096600,1],
 
6617
[963097800,1],
 
6618
[963099000,1],
 
6619
[963100200,1],
 
6620
[963101400,1],
 
6621
[963102600,1],
 
6622
[963103800,1],
 
6623
[963105000,1],
 
6624
[963119100,1],
 
6625
[963140700,1],
 
6626
[963162300,1],
 
6627
[963183900,1],
 
6628
[963205500,1],
 
6629
[963227100,1],
 
6630
[963248700,1],
 
6631
[963270300,1],
 
6632
[963291900,1],
 
6633
[963313500,1],
 
6634
[963335100,1],
 
6635
[963358500,1],
 
6636
[963378300,1],
 
6637
[963399900,1],
 
6638
[963421500,1],
 
6639
[963443100,1],
 
6640
[963464700,1],
 
6641
[963486300,1],
 
6642
[963507900,1],
 
6643
[963529500,1],
 
6644
[963551100,1],
 
6645
[963572700,1],
 
6646
[963595500,1],
 
6647
[963641400,1],
 
6648
[963673200,1],
 
6649
[963705000,1],
 
6650
[963723900,1],
 
6651
[963745500,1],
 
6652
[963767100,1],
 
6653
[963788700,1],
 
6654
[963810300,1],
 
6655
[963831900,1],
 
6656
[963853500,1],
 
6657
[963875100,1],
 
6658
[963896700,1],
 
6659
[963918300,1],
 
6660
[963939900,1],
 
6661
[963961500,1],
 
6662
[963983100,1],
 
6663
[964004700,1],
 
6664
[964026300,1],
 
6665
[964047900,1],
 
6666
[964069500,1],
 
6667
[964091100,1],
 
6668
[964112700,1],
 
6669
[964134300,1],
 
6670
[964155900,1],
 
6671
[964199100,1],
 
6672
[964220700,1],
 
6673
[964242300,1],
 
6674
[964263900,1],
 
6675
[964285500,1],
 
6676
[964307100,1],
 
6677
[964328700,1],
 
6678
[964350300,1],
 
6679
[964371900,1],
 
6680
[964393500,1],
 
6681
[964415100,1],
 
6682
[964436700,1],
 
6683
[964458300,1],
 
6684
[964479900,1],
 
6685
[964501500,1],
 
6686
[964523100,1],
 
6687
[964544700,1],
 
6688
[964566300,1],
 
6689
[964587900,1],
 
6690
[964609500,1],
 
6691
[964631100,1],
 
6692
[964647300,1],
 
6693
[964650900,1],
 
6694
[964674300,1],
 
6695
[964695900,1],
 
6696
[964721100,1],
 
6697
[964739100,1],
 
6698
[964753500,1],
 
6699
[964782300,1],
 
6700
[964803900,1],
 
6701
[964825500,1],
 
6702
[964847100,1],
 
6703
[964868700,1],
 
6704
[964890300,1],
 
6705
[964911900,1],
 
6706
[964933500,1],
 
6707
[964955100,1],
 
6708
[964980300,1],
 
6709
[964998300,1],
 
6710
[965012700,1],
 
6711
[965041500,1],
 
6712
[965063100,1],
 
6713
[965084700,1],
 
6714
[965106300,1],
 
6715
[965127900,1],
 
6716
[965149500,1],
 
6717
[965171100,1],
 
6718
[965192700,1],
 
6719
[965214300,1],
 
6720
[965235900,1],
 
6721
[965257500,1],
 
6722
[965279100,1],
 
6723
[965300700,1],
 
6724
[965322300,1],
 
6725
[965343900,1],
 
6726
[965365500,1],
 
6727
[965387100,1],
 
6728
[965408700,1],
 
6729
[965430300,1],
 
6730
[965451900,1],
 
6731
[965473500,1],
 
6732
[965495100,1],
 
6733
[965516700,1],
 
6734
[965538300,1],
 
6735
[965559900,1],
 
6736
[965581500,1],
 
6737
[965603100,1],
 
6738
[965624700,1],
 
6739
[965646300,1],
 
6740
[965667900,1],
 
6741
[965689500,1],
 
6742
[965711100,1],
 
6743
[965732700,1],
 
6744
[965754300,1],
 
6745
[965780700,1],
 
6746
[965797500,1],
 
6747
[965819100,1],
 
6748
[965840700,1],
 
6749
[965862300,1],
 
6750
[965883900,1],
 
6751
[965905500,1],
 
6752
[965927100,1],
 
6753
[965948700,1],
 
6754
[965970300,1],
 
6755
[965991900,1],
 
6756
[966013500,1],
 
6757
[966035100,1],
 
6758
[966056700,1],
 
6759
[966078300,1],
 
6760
[966099900,1],
 
6761
[966121500,1],
 
6762
[966143100,1],
 
6763
[966164700,1],
 
6764
[966186300,1],
 
6765
[966207900,1],
 
6766
[966229500,1],
 
6767
[966251100,1],
 
6768
[966272700,1],
 
6769
[966294300,1],
 
6770
[966315900,1],
 
6771
[966337500,1],
 
6772
[966359100,1],
 
6773
[966380700,1],
 
6774
[966402300,1],
 
6775
[966423900,1],
 
6776
[966445500,1],
 
6777
[966467100,1],
 
6778
[966488700,1],
 
6779
[966510300,1],
 
6780
[966531900,1],
 
6781
[966553500,1],
 
6782
[966575100,1],
 
6783
[966596700,1],
 
6784
[966618300,1],
 
6785
[966639900,1],
 
6786
[966661500,1],
 
6787
[966683100,1],
 
6788
[966704700,1],
 
6789
[966726300,1],
 
6790
[966747900,1],
 
6791
[966769500,1],
 
6792
[966791100,1],
 
6793
[966812700,1],
 
6794
[966834300,1],
 
6795
[966855900,1],
 
6796
[966877500,1],
 
6797
[966899100,1],
 
6798
[966920700,1],
 
6799
[966942300,1],
 
6800
[966963900,1],
 
6801
[966985500,1],
 
6802
[967007100,1],
 
6803
[967028700,1],
 
6804
[967050300,1],
 
6805
[967071900,1],
 
6806
[967093500,1],
 
6807
[967115100,1],
 
6808
[967136700,1],
 
6809
[967158300,1],
 
6810
[967179900,1],
 
6811
[967201500,1],
 
6812
[967223100,1],
 
6813
[967244700,1],
 
6814
[967271100,1],
 
6815
[967289100,1],
 
6816
[967309500,1],
 
6817
[967331100,1],
 
6818
[967352700,1],
 
6819
[967374300,1],
 
6820
[967395900,1],
 
6821
[967417500,1],
 
6822
[967439100,1],
 
6823
[967460700,1],
 
6824
[967482300,1],
 
6825
[967504500,1],
 
6826
[967525500,1],
 
6827
[967547100,1],
 
6828
[967568700,1],
 
6829
[967590300,1],
 
6830
[967611900,1],
 
6831
[967633500,1],
 
6832
[967655100,1],
 
6833
[967676700,1],
 
6834
[967698300,1],
 
6835
[967719900,1],
 
6836
[967741500,1],
 
6837
[967763100,1],
 
6838
[967784700,1],
 
6839
[967806300,1],
 
6840
[967827900,1],
 
6841
[967849500,1],
 
6842
[967871100,1],
 
6843
[967892700,1],
 
6844
[967914300,1],
 
6845
[967935900,1],
 
6846
[967957500,1],
 
6847
[967979100,1],
 
6848
[968000700,1],
 
6849
[968022300,1],
 
6850
[968043900,1],
 
6851
[968065500,1],
 
6852
[968087100,1],
 
6853
[968108700,1],
 
6854
[968130300,1],
 
6855
[968151900,1],
 
6856
[968173500,1],
 
6857
[968189100,1],
 
6858
[968195100,1],
 
6859
[968216700,1],
 
6860
[968238300,1],
 
6861
[968259900,1],
 
6862
[968281500,1],
 
6863
[968303100,1],
 
6864
[968324700,1],
 
6865
[968346300,1],
 
6866
[968367900,1],
 
6867
[968389500,1],
 
6868
[968411100,1],
 
6869
[968432700,1],
 
6870
[968450700,1],
 
6871
[968712900,1],
 
6872
[968735100,1],
 
6873
[968756700,1],
 
6874
[968778300,1],
 
6875
[968799900,1],
 
6876
[968821500,1],
 
6877
[968843100,1],
 
6878
[968864700,1],
 
6879
[968886300,1],
 
6880
[968907900,1],
 
6881
[968929500,1],
 
6882
[968951100,1],
 
6883
[968972700,1],
 
6884
[968994300,1],
 
6885
[969015900,1],
 
6886
[969037500,1],
 
6887
[969059100,1],
 
6888
[969080700,1],
 
6889
[969102300,1],
 
6890
[969123900,1],
 
6891
[969145500,1],
 
6892
[969167100,1],
 
6893
[969188700,1],
 
6894
[969210300,1],
 
6895
[969231900,1],
 
6896
[969253500,1],
 
6897
[969275100,1],
 
6898
[969296700,1],
 
6899
[969318300,1],
 
6900
[969339900,1],
 
6901
[969361500,1],
 
6902
[969383100,1],
 
6903
[969426300,1],
 
6904
[969447900,1],
 
6905
[969469500,1],
 
6906
[969491100,1],
 
6907
[969512700,1],
 
6908
[969534300,1],
 
6909
[969555900,1],
 
6910
[969577500,1],
 
6911
[969599100,1],
 
6912
[969620700,1],
 
6913
[969642300,1],
 
6914
[969663900,1],
 
6915
[969685500,1],
 
6916
[969707100,1],
 
6917
[969728700,1],
 
6918
[969750300,1],
 
6919
[969771900,1],
 
6920
[969793500,1],
 
6921
[969815100,1],
 
6922
[969836700,1],
 
6923
[969858300,1],
 
6924
[969879900,1],
 
6925
[969901500,1],
 
6926
[969923100,1],
 
6927
[969944700,1],
 
6928
[969966300,1],
 
6929
[969987900,1],
 
6930
[970009500,1],
 
6931
[970031100,1],
 
6932
[970052700,1],
 
6933
[970074300,1],
 
6934
[970095900,1],
 
6935
[970117500,1],
 
6936
[970139100,1],
 
6937
[970160700,1],
 
6938
[970182300,1],
 
6939
[970203900,1],
 
6940
[970225500,1],
 
6941
[970247100,1],
 
6942
[970268700,1],
 
6943
[970290300,1],
 
6944
[970311900,1],
 
6945
[970333500,1],
 
6946
[970376700,1],
 
6947
[970398300,1],
 
6948
[970419900,1],
 
6949
[970441500,1],
 
6950
[970463100,1],
 
6951
[970484700,1],
 
6952
[970506300,1],
 
6953
[970527900,1],
 
6954
[970549500,1],
 
6955
[970571100,1],
 
6956
[970592700,1],
 
6957
[970614300,1],
 
6958
[970635900,1],
 
6959
[970657500,1],
 
6960
[970679100,1],
 
6961
[970700700,1],
 
6962
[970722300,1],
 
6963
[970743900,1],
 
6964
[970765500,1],
 
6965
[970787100,1],
 
6966
[970808700,1],
 
6967
[970830300,1],
 
6968
[970851900,1],
 
6969
[970873500,1],
 
6970
[970895100,1],
 
6971
[970916700,1],
 
6972
[970938300,1],
 
6973
[970959900,1],
 
6974
[970981500,1],
 
6975
[971003100,1],
 
6976
[971024700,1],
 
6977
[971046300,1],
 
6978
[971067900,1],
 
6979
[971089500,1],
 
6980
[971111100,1],
 
6981
[971132700,1],
 
6982
[971154300,1],
 
6983
[971175900,1],
 
6984
[971197500,1],
 
6985
[971219100,1],
 
6986
[971240700,1],
 
6987
[971262300,1],
 
6988
[971283900,1],
 
6989
[971305500,1],
 
6990
[971327100,1],
 
6991
[971348700,1],
 
6992
[971370300,1],
 
6993
[971391900,1],
 
6994
[971413500,1],
 
6995
[971435100,1],
 
6996
[971456700,1],
 
6997
[971478300,1],
 
6998
[971499900,1],
 
6999
[971521500,1],
 
7000
[971543100,1],
 
7001
[971564700,1],
 
7002
[971586300,1],
 
7003
[971607900,1],
 
7004
[971629500,1],
 
7005
[971651100,1],
 
7006
[971672700,1],
 
7007
[971694300,1],
 
7008
[971715900,1],
 
7009
[971737500,1],
 
7010
[971759100,1],
 
7011
[971780700,1],
 
7012
[971802300,1],
 
7013
[971823900,1],
 
7014
[971845500,1],
 
7015
[971867100,1],
 
7016
[971888700,1],
 
7017
[971906700,1],
 
7018
[971931900,1],
 
7019
[971953500,1],
 
7020
[971975100,1],
 
7021
[971996700,1],
 
7022
[972018300,1],
 
7023
[972039900,1],
 
7024
[972061500,1],
 
7025
[972083100,1],
 
7026
[972104700,1],
 
7027
[972126300,1],
 
7028
[972147900,1],
 
7029
[972169500,1],
 
7030
[972191100,1],
 
7031
[972212700,1],
 
7032
[972234300,1],
 
7033
[972255900,1],
 
7034
[972277500,1],
 
7035
[972299100,1],
 
7036
[972320700,1],
 
7037
[972342300,1],
 
7038
[972363900,1],
 
7039
[972385500,1],
 
7040
[972407100,1],
 
7041
[972428700,1],
 
7042
[972450300,1],
 
7043
[972471900,1],
 
7044
[972493500,1],
 
7045
[972515700,1],
 
7046
[972536700,1],
 
7047
[972558300,1],
 
7048
[972579900,1],
 
7049
[972601500,1],
 
7050
[972623100,1],
 
7051
[972644700,1],
 
7052
[972666300,1],
 
7053
[972687900,1],
 
7054
[972709500,1],
 
7055
[972731100,1],
 
7056
[972752700,1],
 
7057
[972774600,1],
 
7058
[972799500,1],
 
7059
[972821100,1],
 
7060
[972867900,1],
 
7061
[972889500,1],
 
7062
[972911100,1],
 
7063
[972932700,1],
 
7064
[972950700,1],
 
7065
[972993900,1],
 
7066
[973015500,1],
 
7067
[973037100,1],
 
7068
[973058700,1],
 
7069
[973080300,1],
 
7070
[973101900,1],
 
7071
[973123500,1],
 
7072
[973145100,1],
 
7073
[973166700,1],
 
7074
[973188300,1],
 
7075
[973209900,1],
 
7076
[973231500,1],
 
7077
[973253100,1],
 
7078
[973274700,1],
 
7079
[973296300,1],
 
7080
[973317900,1],
 
7081
[973339500,1],
 
7082
[973361100,1],
 
7083
[973382700,1],
 
7084
[973404300,1],
 
7085
[973425900,1],
 
7086
[973447500,1],
 
7087
[973469100,1],
 
7088
[973490700,1],
 
7089
[973512300,1],
 
7090
[973533900,1],
 
7091
[973555500,1],
 
7092
[973577100,1],
 
7093
[973598700,1],
 
7094
[973620300,1],
 
7095
[973641900,1],
 
7096
[973663500,1],
 
7097
[973685100,1],
 
7098
[973706700,1],
 
7099
[973728300,1],
 
7100
[973750800,1],
 
7101
[973800000,1],
 
7102
[973836300,1],
 
7103
[973857900,1],
 
7104
[973879500,1],
 
7105
[973922700,1],
 
7106
[973944300,1],
 
7107
[973965900,1],
 
7108
[973987500,1],
 
7109
[974009100,1],
 
7110
[974030700,1],
 
7111
[974052300,1],
 
7112
[974073900,1],
 
7113
[974095500,1],
 
7114
[974117100,1],
 
7115
[974138700,1],
 
7116
[974160300,1],
 
7117
[974181900,1],
 
7118
[974203500,1],
 
7119
[974225100,1],
 
7120
[974246700,1],
 
7121
[974268300,1],
 
7122
[974289900,1],
 
7123
[974311500,1],
 
7124
[974333100,1],
 
7125
[974354700,1],
 
7126
[974376300,1],
 
7127
[974397900,1],
 
7128
[974419500,1],
 
7129
[974441100,1],
 
7130
[974462700,1],
 
7131
[974484300,1],
 
7132
[974505900,1],
 
7133
[974527500,1],
 
7134
[974549100,1],
 
7135
[974570700,1],
 
7136
[974592300,1],
 
7137
[974613900,1],
 
7138
[974635500,1],
 
7139
[974657100,1],
 
7140
[974678700,1],
 
7141
[974700300,1],
 
7142
[974721900,1],
 
7143
[974743500,1],
 
7144
[974765100,1],
 
7145
[974786700,1],
 
7146
[974808300,1],
 
7147
[974829900,1],
 
7148
[974851500,1],
 
7149
[974873100,1],
 
7150
[974894700,1],
 
7151
[974916300,1],
 
7152
[974937900,1],
 
7153
[974959500,1],
 
7154
[974981100,1],
 
7155
[975002700,1],
 
7156
[975024300,1],
 
7157
[975045900,1],
 
7158
[975067500,1],
 
7159
[975089100,1],
 
7160
[975110700,1],
 
7161
[975132300,1],
 
7162
[975153900,1],
 
7163
[975175500,1],
 
7164
[975197100,1],
 
7165
[975218700,1],
 
7166
[975240300,1],
 
7167
[975261900,1],
 
7168
[975283500,1],
 
7169
[975305100,1],
 
7170
[975326700,1],
 
7171
[975348300,1],
 
7172
[975369900,1],
 
7173
[975391500,1],
 
7174
[975413100,1],
 
7175
[975434700,1],
 
7176
[975456300,1],
 
7177
[975542700,1],
 
7178
[975564300,1],
 
7179
[975585900,1],
 
7180
[975607500,1],
 
7181
[975629100,1],
 
7182
[975650700,1],
 
7183
[975672300,1],
 
7184
[975693900,1],
 
7185
[975737100,1],
 
7186
[975758700,1],
 
7187
[975780300,1],
 
7188
[975823500,1],
 
7189
[975845100,1],
 
7190
[975866700,1],
 
7191
[975888300,1],
 
7192
[975909900,1],
 
7193
[975931500,1],
 
7194
[975953100,1],
 
7195
[975974700,1],
 
7196
[975996300,1],
 
7197
[976017900,1],
 
7198
[976039500,1],
 
7199
[976061100,1],
 
7200
[976082700,1],
 
7201
[976104300,1],
 
7202
[976125900,1],
 
7203
[976147500,1],
 
7204
[976169100,1],
 
7205
[976190700,1],
 
7206
[976212300,1],
 
7207
[976233900,1],
 
7208
[976255500,1],
 
7209
[976277100,1],
 
7210
[976298700,1],
 
7211
[976320300,1],
 
7212
[976341900,1],
 
7213
[976363500,1],
 
7214
[976385100,1],
 
7215
[976406700,1],
 
7216
[976428300,1],
 
7217
[976449900,1],
 
7218
[976471500,1],
 
7219
[976493100,1],
 
7220
[976514700,1],
 
7221
[976536300,1],
 
7222
[976557900,1],
 
7223
[976579500,1],
 
7224
[976601100,1],
 
7225
[976622700,1],
 
7226
[976644300,1],
 
7227
[976665900,1],
 
7228
[976687500,1],
 
7229
[976709100,1],
 
7230
[976730700,1],
 
7231
[976752300,1],
 
7232
[976773900,1],
 
7233
[976795500,1],
 
7234
[976817100,1],
 
7235
[976860300,1],
 
7236
[976881900,1],
 
7237
[976903500,1],
 
7238
[976925100,1],
 
7239
[976946700,1],
 
7240
[976968300,1],
 
7241
[976989900,1],
 
7242
[977011500,1],
 
7243
[977033100,1],
 
7244
[977054700,1],
 
7245
[977076300,1],
 
7246
[977097900,1],
 
7247
[977119500,1],
 
7248
[977141100,1],
 
7249
[977162700,1],
 
7250
[977184300,1],
 
7251
[977205900,1],
 
7252
[977227500,1],
 
7253
[977249100,1],
 
7254
[977272200,1],
 
7255
[977292300,1],
 
7256
[977313900,1],
 
7257
[977335500,1],
 
7258
[977357100,1],
 
7259
[977378700,1],
 
7260
[977400300,1],
 
7261
[977421900,1],
 
7262
[977443500,1],
 
7263
[977465100,1],
 
7264
[977486700,1],
 
7265
[977508300,1],
 
7266
[977529900,1],
 
7267
[977551500,1],
 
7268
[977573100,1],
 
7269
[977594700,1],
 
7270
[977616300,1],
 
7271
[977637900,1],
 
7272
[977659500,1],
 
7273
[977681100,1],
 
7274
[977702700,1],
 
7275
[977724300,1],
 
7276
[977745900,1],
 
7277
[977767500,1],
 
7278
[977789100,1],
 
7279
[977810700,1],
 
7280
[977832300,1],
 
7281
[977853900,1],
 
7282
[977875500,1],
 
7283
[977897100,1],
 
7284
[977918700,1],
 
7285
[977940300,1],
 
7286
[977961900,1],
 
7287
[977983500,1],
 
7288
[978005100,1],
 
7289
[978026700,1],
 
7290
[978049500,1],
 
7291
[978069900,1],
 
7292
[978091500,1],
 
7293
[978113100,1],
 
7294
[978134700,1],
 
7295
[978156300,1],
 
7296
[978177900,1],
 
7297
[978199500,1],
 
7298
[978221100,1],
 
7299
[978242700,1],
 
7300
[978264300,1],
 
7301
[978285900,1],
 
7302
[978307500,1],
 
7303
[978329100,1],
 
7304
[978350700,1],
 
7305
[978372300,1],
 
7306
[978393900,1],
 
7307
[978415500,1],
 
7308
[978437100,1],
 
7309
[978458700,1],
 
7310
[978480300,1],
 
7311
[978501900,1],
 
7312
[978523500,1],
 
7313
[978545100,1],
 
7314
[978566700,1],
 
7315
[978588300,1],
 
7316
[978609900,1],
 
7317
[978631500,1],
 
7318
[978653100,1],
 
7319
[978674700,1],
 
7320
[978696300,1],
 
7321
[978717900,1],
 
7322
[978739500,1],
 
7323
[978761100,1],
 
7324
[978782700,1],
 
7325
[978804300,1],
 
7326
[978825900,1],
 
7327
[978847500,1],
 
7328
[978869100,1],
 
7329
[978890700,1],
 
7330
[978912300,1],
 
7331
[978933900,1],
 
7332
[978955500,1],
 
7333
[978977100,1],
 
7334
[978998700,1],
 
7335
[979020300,1],
 
7336
[979041900,1],
 
7337
[979063500,1],
 
7338
[979085100,1],
 
7339
[979106700,1],
 
7340
[979128300,1],
 
7341
[979149900,1],
 
7342
[979171500,1],
 
7343
[979193100,1],
 
7344
[979214700,1],
 
7345
[979236300,1],
 
7346
[979257900,1],
 
7347
[979279500,1],
 
7348
[979301100,1],
 
7349
[979322700,1],
 
7350
[979344300,1],
 
7351
[979365900,1],
 
7352
[979387500,1],
 
7353
[979409100,1],
 
7354
[979430700,1],
 
7355
[979452300,1],
 
7356
[979473900,1],
 
7357
[979495500,1],
 
7358
[979517100,1],
 
7359
[979625100,1],
 
7360
[979646700,1],
 
7361
[979668300,1],
 
7362
[979689900,1],
 
7363
[979711500,1],
 
7364
[979733100,1],
 
7365
[979754700,1],
 
7366
[979776300,1],
 
7367
[979797900,1],
 
7368
[979819500,1],
 
7369
[979841100,1],
 
7370
[979863000,1],
 
7371
[979884300,1],
 
7372
[979905900,1],
 
7373
[979927500,1],
 
7374
[979949100,1],
 
7375
[979970700,1],
 
7376
[979992300,1],
 
7377
[980013900,1],
 
7378
[980035500,1],
 
7379
[980057100,1],
 
7380
[980078700,1],
 
7381
[980100300,1],
 
7382
[980121900,1],
 
7383
[980143500,1],
 
7384
[980165100,1],
 
7385
[980186700,1],
 
7386
[980208300,1],
 
7387
[980229900,1],
 
7388
[980251500,1],
 
7389
[980273100,1],
 
7390
[980294700,1],
 
7391
[980316300,1],
 
7392
[980337900,1],
 
7393
[980359500,1],
 
7394
[980381100,1],
 
7395
[980402700,1],
 
7396
[980424300,1],
 
7397
[980445900,1],
 
7398
[980467500,1],
 
7399
[980489100,1],
 
7400
[980510700,1],
 
7401
[980532300,1],
 
7402
[980553900,1],
 
7403
[980575500,1],
 
7404
[980597100,1],
 
7405
[980618700,1],
 
7406
[980640300,1],
 
7407
[980661900,1],
 
7408
[980683500,1],
 
7409
[980705100,1],
 
7410
[980726700,1],
 
7411
[980748300,1],
 
7412
[980769900,1],
 
7413
[980791500,1],
 
7414
[980813100,1],
 
7415
[980834700,1],
 
7416
[980856300,1],
 
7417
[980877900,1],
 
7418
[980921100,1],
 
7419
[980942700,1],
 
7420
[980964300,1],
 
7421
[980985900,1],
 
7422
[981007500,1],
 
7423
[981029100,1],
 
7424
[981050700,1],
 
7425
[981072300,1],
 
7426
[981093900,1],
 
7427
[981115500,1],
 
7428
[981137100,1],
 
7429
[981159600,1],
 
7430
[981180300,1],
 
7431
[981201900,1],
 
7432
[981223500,1],
 
7433
[981245100,1],
 
7434
[981266700,1],
 
7435
[981288300,1],
 
7436
[981309900,1],
 
7437
[981331500,1],
 
7438
[981353100,1],
 
7439
[981374700,1],
 
7440
[981396300,1],
 
7441
[981417900,1],
 
7442
[981439500,1],
 
7443
[981461100,1],
 
7444
[981482700,1],
 
7445
[981504300,1],
 
7446
[981525900,1],
 
7447
[981547500,1],
 
7448
[981569100,1],
 
7449
[981590700,1],
 
7450
[981655500,1],
 
7451
[981689700,1],
 
7452
[981720300,1],
 
7453
[981741900,1],
 
7454
[981763500,1],
 
7455
[981785100,1],
 
7456
[981806700,1],
 
7457
[981828300,1],
 
7458
[981849900,1],
 
7459
[981871500,1],
 
7460
[981893100,1],
 
7461
[981914700,1],
 
7462
[981936300,1],
 
7463
[981957900,1],
 
7464
[981979500,1],
 
7465
[982001100,1],
 
7466
[982022700,1],
 
7467
[982044300,1],
 
7468
[982065900,1],
 
7469
[982087500,1],
 
7470
[982109100,1],
 
7471
[982130700,1],
 
7472
[982152300,1],
 
7473
[982173900,1],
 
7474
[982195500,1],
 
7475
[982217100,1],
 
7476
[982238700,1],
 
7477
[982260300,1],
 
7478
[982281900,1],
 
7479
[982303500,1],
 
7480
[982325100,1],
 
7481
[982346700,1],
 
7482
[982368300,1],
 
7483
[982735500,1],
 
7484
[982757100,1],
 
7485
[982778700,1],
 
7486
[982800300,1],
 
7487
[982821900,1],
 
7488
[982843500,1],
 
7489
[982865100,1],
 
7490
[982886700,1],
 
7491
[982908300,1],
 
7492
[982929900,1],
 
7493
[982973700,1],
 
7494
[982994700,1],
 
7495
[983016300,1],
 
7496
[983037900,1],
 
7497
[983059500,1],
 
7498
[983081100,1],
 
7499
[983102700,1],
 
7500
[983124300,1],
 
7501
[983145900,1],
 
7502
[983167500,1],
 
7503
[983189100,1],
 
7504
[983210700,1],
 
7505
[983228700,1],
 
7506
[983253900,1],
 
7507
[983275500,1],
 
7508
[983297100,1],
 
7509
[983318700,1],
 
7510
[983340300,1],
 
7511
[983361900,1],
 
7512
[983383500,1],
 
7513
[983405100,1],
 
7514
[983426700,1],
 
7515
[983448300,1],
 
7516
[983469900,1],
 
7517
[983491500,1],
 
7518
[983513100,1],
 
7519
[983534700,1],
 
7520
[983556300,1],
 
7521
[983577900,1],
 
7522
[983599500,1],
 
7523
[983621100,1],
 
7524
[983642700,1],
 
7525
[983664300,1],
 
7526
[983685900,1],
 
7527
[983707500,1],
 
7528
[983729100,1],
 
7529
[983750700,1],
 
7530
[983772300,1],
 
7531
[983793900,1],
 
7532
[983815500,1],
 
7533
[983837100,1],
 
7534
[983858700,1],
 
7535
[983880300,1],
 
7536
[983901900,1],
 
7537
[983923500,1],
 
7538
[983945100,1],
 
7539
[983966700,1],
 
7540
[983988300,1],
 
7541
[984009900,1],
 
7542
[984031500,1],
 
7543
[984053100,1],
 
7544
[984074700,1],
 
7545
[984096300,1],
 
7546
[984117900,1],
 
7547
[984139500,1],
 
7548
[984161100,1],
 
7549
[984182700,1],
 
7550
[984204300,1],
 
7551
[984225900,1],
 
7552
[984247500,1],
 
7553
[984269100,1],
 
7554
[984290700,1],
 
7555
[984312300,1],
 
7556
[984333900,1],
 
7557
[984355500,1],
 
7558
[984377100,1],
 
7559
[984398700,1],
 
7560
[984420300,1],
 
7561
[984441900,1],
 
7562
[984463500,1],
 
7563
[984485100,1],
 
7564
[984506700,1],
 
7565
[984528300,1],
 
7566
[984549900,1],
 
7567
[984571500,1],
 
7568
[984593100,1],
 
7569
[984614700,1],
 
7570
[984636300,1],
 
7571
[984657900,1],
 
7572
[984679500,1],
 
7573
[984701100,1],
 
7574
[984722700,1],
 
7575
[984744300,1],
 
7576
[984765900,1],
 
7577
[984787500,1],
 
7578
[984809100,1],
 
7579
[984830700,1],
 
7580
[984852300,1],
 
7581
[984873900,1],
 
7582
[984895500,1],
 
7583
[984917100,1],
 
7584
[984938700,1],
 
7585
[984960300,1],
 
7586
[984981900,1],
 
7587
[985003500,1],
 
7588
[985025100,1],
 
7589
[985046700,1],
 
7590
[985068300,1],
 
7591
[985089900,1],
 
7592
[985111500,1],
 
7593
[985133100,1],
 
7594
[985154700,1],
 
7595
[985176300,1],
 
7596
[985197900,1],
 
7597
[985219500,1],
 
7598
[985241100,1],
 
7599
[985262700,1],
 
7600
[985284300,1],
 
7601
[985305900,1],
 
7602
[985327500,1],
 
7603
[985349100,1],
 
7604
[985370700,1],
 
7605
[985392300,1],
 
7606
[985413900,1],
 
7607
[985435500,1],
 
7608
[985457100,1],
 
7609
[985478700,1],
 
7610
[985500300,1],
 
7611
[985521900,1],
 
7612
[985543500,1],
 
7613
[985565100,1],
 
7614
[985586700,1],
 
7615
[985608300,1],
 
7616
[985629900,1],
 
7617
[985653600,1],
 
7618
[985673100,1],
 
7619
[985694700,1],
 
7620
[985716300,1],
 
7621
[985737900,1],
 
7622
[985759500,1],
 
7623
[985781100,1],
 
7624
[985802700,1],
 
7625
[985824300,1],
 
7626
[985845900,1],
 
7627
[985867500,1],
 
7628
[985889100,1],
 
7629
[985910700,1],
 
7630
[985932300,1],
 
7631
[985953900,1],
 
7632
[985975500,1],
 
7633
[985997100,1],
 
7634
[986018700,1],
 
7635
[986040300,1],
 
7636
[986061900,1],
 
7637
[986083500,1],
 
7638
[986105100,1],
 
7639
[986123100,1],
 
7640
[986144700,1],
 
7641
[986166300,1],
 
7642
[986187900,1],
 
7643
[986209500,1],
 
7644
[986231100,1],
 
7645
[986252700,1],
 
7646
[986274300,1],
 
7647
[986295900,1],
 
7648
[986318700,1],
 
7649
[986339100,1],
 
7650
[986360700,1],
 
7651
[986382300,1],
 
7652
[986403900,1],
 
7653
[986425500,1],
 
7654
[986447100,1],
 
7655
[986468700,1],
 
7656
[986490300,1],
 
7657
[986511900,1],
 
7658
[986555100,1],
 
7659
[986576700,1],
 
7660
[986623800,1],
 
7661
[986627100,1],
 
7662
[986645400,1],
 
7663
[986665200,1],
 
7664
[986684700,1],
 
7665
[986706300,1],
 
7666
[986727900,1],
 
7667
[986749500,1],
 
7668
[986771100,1],
 
7669
[986792700,1],
 
7670
[986814300,1],
 
7671
[986835900,1],
 
7672
[986857500,1],
 
7673
[986879100,1],
 
7674
[986900700,1],
 
7675
[986922300,1],
 
7676
[986943900,1],
 
7677
[986965500,1],
 
7678
[986987100,1],
 
7679
[987008700,1],
 
7680
[987030300,1],
 
7681
[987051900,1],
 
7682
[987073500,1],
 
7683
[987095100,1],
 
7684
[987116700,1],
 
7685
[987138300,1],
 
7686
[987159900,1],
 
7687
[987181500,1],
 
7688
[987203100,1],
 
7689
[987224700,1],
 
7690
[987246300,1],
 
7691
[987267900,1],
 
7692
[987289500,1],
 
7693
[987311100,1],
 
7694
[987332700,1],
 
7695
[987354300,1],
 
7696
[987379800,1],
 
7697
[987402300,1],
 
7698
[987422700,1],
 
7699
[987443100,1],
 
7700
[987463200,1],
 
7701
[987483900,1],
 
7702
[987505500,1],
 
7703
[987527100,1],
 
7704
[987548700,1],
 
7705
[987570300,1],
 
7706
[987591900,1],
 
7707
[987613500,1],
 
7708
[987635100,1],
 
7709
[987678300,1],
 
7710
[987699900,1],
 
7711
[987743100,1],
 
7712
[987764700,1],
 
7713
[987786300,1],
 
7714
[988064400,1],
 
7715
[988088700,1],
 
7716
[988110300,1],
 
7717
[988131900,1],
 
7718
[988153500,1],
 
7719
[988175100,1],
 
7720
[988196700,1],
 
7721
[988218300,1],
 
7722
[988239900,1],
 
7723
[988261500,1],
 
7724
[988283100,1],
 
7725
[988304700,1],
 
7726
[988329900,1],
 
7727
[988347900,1],
 
7728
[988369500,1],
 
7729
[988391100,1],
 
7730
[988412700,1],
 
7731
[988434300,1],
 
7732
[988455900,1],
 
7733
[988477500,1],
 
7734
[988499100,1],
 
7735
[988520700,1],
 
7736
[988542300,1],
 
7737
[988563900,1],
 
7738
[988585500,1],
 
7739
[988607100,1],
 
7740
[988628700,1],
 
7741
[988650300,1],
 
7742
[988671900,1],
 
7743
[988693500,1],
 
7744
[988715100,1],
 
7745
[988736700,1],
 
7746
[988758300,1],
 
7747
[988779900,1],
 
7748
[988801500,1],
 
7749
[988823100,1],
 
7750
[988844700,1],
 
7751
[988866300,1],
 
7752
[988887900,1],
 
7753
[988909500,1],
 
7754
[988931100,1],
 
7755
[988952700,1],
 
7756
[988974300,1],
 
7757
[988995900,1],
 
7758
[989017500,1],
 
7759
[989039100,1],
 
7760
[989060700,1],
 
7761
[989082300,1],
 
7762
[989103900,1],
 
7763
[989125500,1],
 
7764
[989147100,1],
 
7765
[989168700,1],
 
7766
[989190300,1],
 
7767
[989211900,1],
 
7768
[989233500,1],
 
7769
[989255100,1],
 
7770
[989276700,1],
 
7771
[989298300,1],
 
7772
[989319900,1],
 
7773
[989341500,1],
 
7774
[989363100,1],
 
7775
[989384700,1],
 
7776
[989406300,1],
 
7777
[989427900,1],
 
7778
[989449500,1],
 
7779
[989471100,1],
 
7780
[989492700,1],
 
7781
[989514300,1],
 
7782
[989536200,1],
 
7783
[989902800,1],
 
7784
[989924700,1],
 
7785
[989946300,1],
 
7786
[989967900,1],
 
7787
[989989200,1],
 
7788
[990011100,1],
 
7789
[990032400,1],
 
7790
[990054300,1],
 
7791
[990075600,1],
 
7792
[990097500,1],
 
7793
[990118800,1],
 
7794
[990140700,1],
 
7795
[990162000,1],
 
7796
[990183900,1],
 
7797
[990205200,1],
 
7798
[990227100,1],
 
7799
[990248700,1],
 
7800
[990270300,1],
 
7801
[990291900,1],
 
7802
[990313500,1],
 
7803
[990335100,1],
 
7804
[990356700,1],
 
7805
[990378300,1],
 
7806
[990399900,1],
 
7807
[990421200,1],
 
7808
[990443100,1],
 
7809
[990464400,1],
 
7810
[990486300,1],
 
7811
[990507600,1],
 
7812
[990529500,1],
 
7813
[990550800,1],
 
7814
[990572700,1],
 
7815
[990594000,1],
 
7816
[990615900,1],
 
7817
[990637200,1],
 
7818
[990659100,1],
 
7819
[990680400,1],
 
7820
[990702300,1],
 
7821
[990723600,1],
 
7822
[990745500,1],
 
7823
[990766800,1],
 
7824
[990788700,1],
 
7825
[990810000,1],
 
7826
[990831900,1],
 
7827
[990853500,1],
 
7828
[990875100,1],
 
7829
[990896700,1],
 
7830
[990918300,1],
 
7831
[990939900,1],
 
7832
[990961500,1],
 
7833
[990983100,1],
 
7834
[991004700,1],
 
7835
[991026300,1],
 
7836
[991047900,1],
 
7837
[991069500,1],
 
7838
[991091100,1],
 
7839
[991112700,1],
 
7840
[991134300,1],
 
7841
[991155900,1],
 
7842
[991177500,1],
 
7843
[991199100,1],
 
7844
[991220700,1],
 
7845
[991242300,1],
 
7846
[991263900,1],
 
7847
[991285500,1],
 
7848
[991307100,1],
 
7849
[991328700,1],
 
7850
[991350300,1],
 
7851
[991371900,1],
 
7852
[991393500,1],
 
7853
[991415100,1],
 
7854
[991436700,1],
 
7855
[991458300,1],
 
7856
[991479900,1],
 
7857
[991501500,1],
 
7858
[991523100,1],
 
7859
[991544700,1],
 
7860
[991566300,1],
 
7861
[991587900,1],
 
7862
[991609500,1],
 
7863
[991631100,1],
 
7864
[991652700,1],
 
7865
[991674300,1],
 
7866
[991695900,1],
 
7867
[991717500,1],
 
7868
[991739100,1],
 
7869
[991760700,1],
 
7870
[991782300,1],
 
7871
[991803900,1],
 
7872
[991825500,1],
 
7873
[991847100,1],
 
7874
[991868700,1],
 
7875
[991890300,1],
 
7876
[991911900,1],
 
7877
[991933500,1],
 
7878
[991955100,1],
 
7879
[991976700,1],
 
7880
[991998300,1],
 
7881
[992019900,1],
 
7882
[992041500,1],
 
7883
[992063100,1],
 
7884
[992084700,1],
 
7885
[992106300,1],
 
7886
[992127900,1],
 
7887
[992149500,1],
 
7888
[992171100,1],
 
7889
[992192700,1],
 
7890
[992214300,1],
 
7891
[992235900,1],
 
7892
[992257500,1],
 
7893
[992279100,1],
 
7894
[992300700,1],
 
7895
[992322300,1],
 
7896
[992343900,1],
 
7897
[992365500,1],
 
7898
[992387100,1],
 
7899
[992408700,1],
 
7900
[992430300,1],
 
7901
[992473500,1],
 
7902
[992495100,1],
 
7903
[992516700,1],
 
7904
[992538300,1],
 
7905
[992559900,1],
 
7906
[992581500,1],
 
7907
[992603100,1],
 
7908
[992624700,1],
 
7909
[992646300,1],
 
7910
[992908800,1],
 
7911
[992927100,1],
 
7912
[992948700,1],
 
7913
[992970300,1],
 
7914
[992991900,1],
 
7915
[993013500,1],
 
7916
[993035100,1],
 
7917
[993056700,1],
 
7918
[993078300,1],
 
7919
[993099900,1],
 
7920
[993127200,1],
 
7921
[993142500,1],
 
7922
[993159600,1],
 
7923
[993161100,1],
 
7924
[993186300,1],
 
7925
[993207900,1],
 
7926
[993229500,1],
 
7927
[993251100,1],
 
7928
[993272700,1],
 
7929
[993294300,1],
 
7930
[993337500,1],
 
7931
[993359100,1],
 
7932
[993380700,1],
 
7933
[993402300,1],
 
7934
[993423900,1],
 
7935
[993445500,1],
 
7936
[993467100,1],
 
7937
[993488700,1],
 
7938
[993510300,1],
 
7939
[993531900,1],
 
7940
[993553500,1],
 
7941
[993575100,1],
 
7942
[993596700,1],
 
7943
[993618300,1],
 
7944
[993639900,1],
 
7945
[993661500,1],
 
7946
[993683100,1],
 
7947
[993704700,1],
 
7948
[993726300,1],
 
7949
[993747900,1],
 
7950
[993769500,1],
 
7951
[993791100,1],
 
7952
[993812700,1],
 
7953
[993834300,1],
 
7954
[993855900,1],
 
7955
[993877500,1],
 
7956
[993899100,1],
 
7957
[993920700,1],
 
7958
[993942300,1],
 
7959
[993963900,1],
 
7960
[993985500,1],
 
7961
[994008600,1],
 
7962
[994032300,1],
 
7963
[994053900,1],
 
7964
[994075500,1],
 
7965
[994115100,1],
 
7966
[994136700,1],
 
7967
[994158300,1],
 
7968
[994179900,1],
 
7969
[994201500,1],
 
7970
[994223100,1],
 
7971
[994244700,1],
 
7972
[994266300,1],
 
7973
[994287900,1],
 
7974
[994309500,1],
 
7975
[994331100,1],
 
7976
[994352700,1],
 
7977
[994374300,1],
 
7978
[994395900,1],
 
7979
[994417500,1],
 
7980
[994439100,1],
 
7981
[994460700,1],
 
7982
[994482300,1],
 
7983
[994503900,1],
 
7984
[994525500,1],
 
7985
[994547100,1],
 
7986
[994568700,1],
 
7987
[994590300,1],
 
7988
[994611900,1],
 
7989
[994633500,1],
 
7990
[994655100,1],
 
7991
[994676700,1],
 
7992
[994698300,1],
 
7993
[994719900,1],
 
7994
[994741500,1],
 
7995
[994763100,1],
 
7996
[994784700,1],
 
7997
[994806300,1],
 
7998
[994827900,1],
 
7999
[994849500,1],
 
8000
[994871100,1],
 
8001
[994893600,1],
 
8002
[994914300,1],
 
8003
[994935900,1],
 
8004
[994957500,1],
 
8005
[994964700,1],
 
8006
[994979100,1],
 
8007
[995000700,1],
 
8008
[995022300,1],
 
8009
[995043900,1],
 
8010
[995065500,1],
 
8011
[995087100,1],
 
8012
[995108700,1],
 
8013
[995130300,1],
 
8014
[995151900,1],
 
8015
[995173500,1],
 
8016
[995195100,1],
 
8017
[995216700,1],
 
8018
[995223900,1],
 
8019
[995238300,1],
 
8020
[995259900,1],
 
8021
[995281500,1],
 
8022
[995303100,1],
 
8023
[995324700,1],
 
8024
[995346300,1],
 
8025
[995367900,1],
 
8026
[995389500,1],
 
8027
[995396700,1],
 
8028
[995411100,1],
 
8029
[995432700,1],
 
8030
[995454300,1],
 
8031
[995475900,1],
 
8032
[995497500,1],
 
8033
[995519100,1],
 
8034
[995540700,1],
 
8035
[995562300,1],
 
8036
[995569500,1],
 
8037
[995583900,1],
 
8038
[995605500,1],
 
8039
[995627100,1],
 
8040
[995648700,1],
 
8041
[995670900,1],
 
8042
[995691900,1],
 
8043
[995713500,1],
 
8044
[995735100,1],
 
8045
[995756700,1],
 
8046
[995778300,1],
 
8047
[995799900,1],
 
8048
[995821500,1],
 
8049
[995843100,1],
 
8050
[995864700,1],
 
8051
[995907900,1],
 
8052
[995915100,1],
 
8053
[995929500,1],
 
8054
[995951100,1],
 
8055
[995972700,1],
 
8056
[995994300,1],
 
8057
[996015900,1],
 
8058
[996037500,1],
 
8059
[996059100,1],
 
8060
[996080700,1],
 
8061
[996102300,1],
 
8062
[996123900,1],
 
8063
[996145500,1],
 
8064
[996167100,1],
 
8065
[996188700,1],
 
8066
[996210300,1],
 
8067
[996231900,1],
 
8068
[996253500,1],
 
8069
[996275100,1],
 
8070
[996296700,1],
 
8071
[996318300,1],
 
8072
[996339900,1],
 
8073
[996361500,1],
 
8074
[996447900,1],
 
8075
[996469500,1],
 
8076
[996491100,1],
 
8077
[996512700,1],
 
8078
[996534300,1],
 
8079
[996555900,1],
 
8080
[996577500,1],
 
8081
[996599100,1],
 
8082
[996621900,1],
 
8083
[996642300,1],
 
8084
[996663900,1],
 
8085
[996685500,1],
 
8086
[996707100,1],
 
8087
[996728700,1],
 
8088
[996750300,1],
 
8089
[996771900,1],
 
8090
[996795600,1],
 
8091
[996879900,1],
 
8092
[996901500,1],
 
8093
[996966300,1],
 
8094
[997052700,1],
 
8095
[997074300,1],
 
8096
[997095900,1],
 
8097
[997117500,1],
 
8098
[997139100,1],
 
8099
[997160700,1],
 
8100
[997182300,1],
 
8101
[997203900,1],
 
8102
[997225500,1],
 
8103
[997247100,1],
 
8104
[997268700,1],
 
8105
[997290300,1],
 
8106
[997311900,1],
 
8107
[997333500,1],
 
8108
[997355100,1],
 
8109
[997376700,1],
 
8110
[997398300,1],
 
8111
[997419900,1],
 
8112
[997441500,1],
 
8113
[997463100,1],
 
8114
[997484700,1],
 
8115
[997506300,1],
 
8116
[997527900,1],
 
8117
[997549500,1],
 
8118
[997571100,1],
 
8119
[997592700,1],
 
8120
[997614300,1],
 
8121
[997635900,1],
 
8122
[997657500,1],
 
8123
[997679100,1],
 
8124
[997700700,1],
 
8125
[997743900,1],
 
8126
[997765500,1],
 
8127
[997787100,1],
 
8128
[997808700,1],
 
8129
[997830300,1],
 
8130
[997851900,1],
 
8131
[997873500,1],
 
8132
[997895100,1],
 
8133
[997916700,1],
 
8134
[997938300,1],
 
8135
[997959900,1],
 
8136
[997981500,1],
 
8137
[998003100,1],
 
8138
[998024700,1],
 
8139
[998046300,1],
 
8140
[998067900,1],
 
8141
[998089500,1],
 
8142
[998111100,1],
 
8143
[998132700,1],
 
8144
[998154300,1],
 
8145
[998175900,1],
 
8146
[998197500,1],
 
8147
[998219100,1],
 
8148
[998240700,1],
 
8149
[998262300,1],
 
8150
[998283900,1],
 
8151
[998305500,1],
 
8152
[998327100,1],
 
8153
[998348700,1],
 
8154
[998370300,1],
 
8155
[998391900,1],
 
8156
[998413500,1],
 
8157
[998435100,1],
 
8158
[998456700,1],
 
8159
[998478300,1],
 
8160
[998499900,1],
 
8161
[998522700,1],
 
8162
[998543100,1],
 
8163
[998564700,1],
 
8164
[998586300,1],
 
8165
[998607900,1],
 
8166
[998629500,1],
 
8167
[998651100,1],
 
8168
[998672700,1],
 
8169
[998694300,1],
 
8170
[998715900,1],
 
8171
[998737500,1],
 
8172
[998759100,1],
 
8173
[998780700,1],
 
8174
[998802300,1],
 
8175
[998823900,1],
 
8176
[998845500,1],
 
8177
[998867100,1],
 
8178
[998888700,1],
 
8179
[998910300,1],
 
8180
[998931900,1],
 
8181
[998953500,1],
 
8182
[998975100,1],
 
8183
[998996700,1],
 
8184
[999018300,1],
 
8185
[999039900,1],
 
8186
[999061500,1],
 
8187
[999083100,1],
 
8188
[999104700,1],
 
8189
[999127200,1],
 
8190
[999147900,1],
 
8191
[999169500,1],
 
8192
[999191100,1],
 
8193
[999212700,1],
 
8194
[999234300,1],
 
8195
[999255900,1],
 
8196
[999277500,1],
 
8197
[999286800,1],
 
8198
[999666300,1],
 
8199
[999687900,1],
 
8200
[999709500,1],
 
8201
[999731100,1],
 
8202
[999752700,1],
 
8203
[999774300,1],
 
8204
[999795900,1],
 
8205
[999817500,1],
 
8206
[999839100,1],
 
8207
[999860700,1],
 
8208
[999882300,1],
 
8209
[999903900,1],
 
8210
[999925500,1],
 
8211
[999947100,1],
 
8212
[999968700,1],
 
8213
[999990300,1],
 
8214
[1000011900,1],
 
8215
[1000033500,1],
 
8216
[1000055100,1],
 
8217
[1000076700,1],
 
8218
[1000098300,1],
 
8219
[1000119900,1],
 
8220
[1000141500,1],
 
8221
[1000163100,1],
 
8222
[1000184700,1],
 
8223
[1000206300,1],
 
8224
[1000227900,1],
 
8225
[1000249500,1],
 
8226
[1000271100,1],
 
8227
[1000292700,1],
 
8228
[1000314300,1],
 
8229
[1000336500,1],
 
8230
[1000357500,1],
 
8231
[1000379100,1],
 
8232
[1000400700,1],
 
8233
[1000422300,1],
 
8234
[1000443900,1],
 
8235
[1000465500,1],
 
8236
[1000487100,1],
 
8237
[1000508700,1],
 
8238
[1000530300,1],
 
8239
[1000551900,1],
 
8240
[1000573500,1],
 
8241
[1000595100,1],
 
8242
[1000616700,1],
 
8243
[1000638300,1],
 
8244
[1000659900,1],
 
8245
[1000681500,1],
 
8246
[1000703100,1],
 
8247
[1000724700,1],
 
8248
[1000746300,1],
 
8249
[1000767900,1],
 
8250
[1000789500,1],
 
8251
[1000811100,1],
 
8252
[1000832700,1],
 
8253
[1000854300,1],
 
8254
[1000875900,1],
 
8255
[1000897500,1],
 
8256
[1000919100,1],
 
8257
[1000940700,1],
 
8258
[1000962300,1],
 
8259
[1001005500,1],
 
8260
[1001027100,1],
 
8261
[1001048700,1],
 
8262
[1001070300,1],
 
8263
[1001091900,1],
 
8264
[1001113500,1],
 
8265
[1001135100,1],
 
8266
[1001156700,1],
 
8267
[1001178300,1],
 
8268
[1001199900,1],
 
8269
[1001221500,1],
 
8270
[1001243100,1],
 
8271
[1001264700,1],
 
8272
[1001286300,1],
 
8273
[1001307900,1],
 
8274
[1001329500,1],
 
8275
[1001351100,1],
 
8276
[1001372700,1],
 
8277
[1001394600,1],
 
8278
[1001422200,1],
 
8279
[1001450100,1],
 
8280
[1001459100,1],
 
8281
[1001485800,1],
 
8282
[1001510400,1],
 
8283
[1001532600,1],
 
8284
[1001545500,1],
 
8285
[1001567100,1],
 
8286
[1001588700,1],
 
8287
[1001610300,1],
 
8288
[1001631900,1],
 
8289
[1001653500,1],
 
8290
[1001675100,1],
 
8291
[1001696700,1],
 
8292
[1001718300,1],
 
8293
[1001739900,1],
 
8294
[1001761500,1],
 
8295
[1001783100,1],
 
8296
[1001804700,1],
 
8297
[1001826300,1],
 
8298
[1001847900,1],
 
8299
[1001869500,1],
 
8300
[1001891100,1],
 
8301
[1001912700,1],
 
8302
[1001934300,1],
 
8303
[1001955900,1],
 
8304
[1001977500,1],
 
8305
[1001999100,1],
 
8306
[1002020700,1],
 
8307
[1002042300,1],
 
8308
[1002063900,1],
 
8309
[1002085500,1],
 
8310
[1002107100,1],
 
8311
[1002128700,1],
 
8312
[1002150300,1],
 
8313
[1002171900,1],
 
8314
[1002193500,1],
 
8315
[1002215100,1],
 
8316
[1002236700,1],
 
8317
[1002258300,1],
 
8318
[1002279900,1],
 
8319
[1002301500,1],
 
8320
[1002323100,1],
 
8321
[1002344700,1],
 
8322
[1002366300,1],
 
8323
[1002387900,1],
 
8324
[1002409500,1],
 
8325
[1002431100,1],
 
8326
[1002452700,1],
 
8327
[1002474300,1],
 
8328
[1002495900,1],
 
8329
[1002517500,1],
 
8330
[1002539100,1],
 
8331
[1002560700,1],
 
8332
[1002582300,1],
 
8333
[1002603900,1],
 
8334
[1002625500,1],
 
8335
[1002647100,1],
 
8336
[1002668700,1],
 
8337
[1002690300,1],
 
8338
[1002711900,1],
 
8339
[1002733500,1],
 
8340
[1002755100,1],
 
8341
[1002776700,1],
 
8342
[1002798300,1],
 
8343
[1002819900,1],
 
8344
[1002841500,1],
 
8345
[1002863100,1],
 
8346
[1002884700,1],
 
8347
[1002906300,1],
 
8348
[1002930900,1],
 
8349
[1002949500,1],
 
8350
[1002971100,1],
 
8351
[1002992700,1],
 
8352
[1003019100,1],
 
8353
[1003035900,1],
 
8354
[1003057500,1],
 
8355
[1003079100,1],
 
8356
[1003100700,1],
 
8357
[1003122300,1],
 
8358
[1003143900,1],
 
8359
[1003165500,1],
 
8360
[1003187100,1],
 
8361
[1003208700,1],
 
8362
[1003230300,1],
 
8363
[1003251900,1],
 
8364
[1003273500,1],
 
8365
[1003295100,1],
 
8366
[1003359900,1],
 
8367
[1003381500,1],
 
8368
[1003403100,1],
 
8369
[1003424700,1],
 
8370
[1003446300,1],
 
8371
[1003467900,1],
 
8372
[1003489500,1],
 
8373
[1003511100,1],
 
8374
[1003533600,1],
 
8375
[1003554300,1],
 
8376
[1003575900,1],
 
8377
[1003597500,1],
 
8378
[1003619100,1],
 
8379
[1003640700,1],
 
8380
[1003662300,1],
 
8381
[1003683900,1],
 
8382
[1003705500,1],
 
8383
[1003727100,1],
 
8384
[1003748700,1],
 
8385
[1003770300,1],
 
8386
[1003791900,1],
 
8387
[1003813500,1],
 
8388
[1003835100,1],
 
8389
[1003856700,1],
 
8390
[1003878300,1],
 
8391
[1003899900,1],
 
8392
[1003921500,1],
 
8393
[1003943100,1],
 
8394
[1003964700,1],
 
8395
[1003986300,1],
 
8396
[1004007900,1],
 
8397
[1004029500,1],
 
8398
[1004051100,1],
 
8399
[1004072700,1],
 
8400
[1004094300,1],
 
8401
[1004115900,1],
 
8402
[1004136900,1],
 
8403
[1004137500,1],
 
8404
[1004159100,1],
 
8405
[1004180700,1],
 
8406
[1004202300,1],
 
8407
[1004223900,1],
 
8408
[1004249100,1],
 
8409
[1004270700,1],
 
8410
[1004292300,1],
 
8411
[1004313900,1],
 
8412
[1004335500,1],
 
8413
[1004357100,1],
 
8414
[1004378700,1],
 
8415
[1004400300,1],
 
8416
[1004421900,1],
 
8417
[1004443500,1],
 
8418
[1004465100,1],
 
8419
[1004487900,1],
 
8420
[1004490300,1],
 
8421
[1004508300,1],
 
8422
[1004529900,1],
 
8423
[1004551500,1],
 
8424
[1004573100,1],
 
8425
[1004594700,1],
 
8426
[1004616300,1],
 
8427
[1004637900,1],
 
8428
[1004681100,1],
 
8429
[1004702700,1],
 
8430
[1004724300,1],
 
8431
[1004745900,1],
 
8432
[1005028200,1],
 
8433
[1005053700,1],
 
8434
[1005075900,1],
 
8435
[1005096300,1],
 
8436
[1005113100,1],
 
8437
[1005134700,1],
 
8438
[1005156300,1],
 
8439
[1005177900,1],
 
8440
[1005199500,1],
 
8441
[1005221100,1],
 
8442
[1005242700,1],
 
8443
[1005264300,1],
 
8444
[1005285900,1],
 
8445
[1005307500,1],
 
8446
[1005329100,1],
 
8447
[1005372300,1],
 
8448
[1005393900,1],
 
8449
[1005415500,1],
 
8450
[1005437100,1],
 
8451
[1005458700,1],
 
8452
[1005480300,1],
 
8453
[1005501900,1],
 
8454
[1005520200,1],
 
8455
[1005521700,1],
 
8456
[1005524700,1],
 
8457
[1005526500,1],
 
8458
[1005531300,1],
 
8459
[1005536100,1],
 
8460
[1005545100,1],
 
8461
[1005566700,1],
 
8462
[1005588300,1],
 
8463
[1005609900,1],
 
8464
[1005631500,1],
 
8465
[1005653100,1],
 
8466
[1005674700,1],
 
8467
[1005696300,1],
 
8468
[1005717900,1],
 
8469
[1005739500,1],
 
8470
[1005749400,1],
 
8471
[1005756300,1],
 
8472
[1005759000,1],
 
8473
[1005762900,1],
 
8474
[1005765600,1],
 
8475
[1005769500,1],
 
8476
[1005777000,1],
 
8477
[1005790200,1],
 
8478
[1005793500,1],
 
8479
[1005829200,1],
 
8480
[1005847500,1],
 
8481
[1005857700,1],
 
8482
[1005869100,1],
 
8483
[1005890700,1],
 
8484
[1005912300,1],
 
8485
[1005933900,1],
 
8486
[1005955500,1],
 
8487
[1005977100,1],
 
8488
[1005998700,1],
 
8489
[1006020300,1],
 
8490
[1006041900,1],
 
8491
[1006063500,1],
 
8492
[1006085100,1],
 
8493
[1006106700,1],
 
8494
[1006128300,1],
 
8495
[1006149900,1],
 
8496
[1006171500,1],
 
8497
[1006193100,1],
 
8498
[1006214700,1],
 
8499
[1006236300,1],
 
8500
[1006257900,1],
 
8501
[1006279500,1],
 
8502
[1006301100,1],
 
8503
[1006322700,1],
 
8504
[1006344300,1],
 
8505
[1006365900,1],
 
8506
[1006387500,1],
 
8507
[1006409100,1],
 
8508
[1006430700,1],
 
8509
[1006452300,1],
 
8510
[1006473900,1],
 
8511
[1006495500,1],
 
8512
[1006517100,1],
 
8513
[1006540800,1],
 
8514
[1006564800,1],
 
8515
[1006581900,1],
 
8516
[1006604100,1],
 
8517
[1006627500,1],
 
8518
[1006647900,1],
 
8519
[1006668300,1],
 
8520
[1006689900,1],
 
8521
[1006711500,1],
 
8522
[1006733100,1],
 
8523
[1006754700,1],
 
8524
[1006776300,1],
 
8525
[1006797900,1],
 
8526
[1006819500,1],
 
8527
[1006841100,1],
 
8528
[1006862700,1],
 
8529
[1006884300,1],
 
8530
[1006905900,1],
 
8531
[1006927500,1],
 
8532
[1006949100,1],
 
8533
[1006970700,1],
 
8534
[1006992300,1],
 
8535
[1007013900,1],
 
8536
[1007035500,1],
 
8537
[1007057100,1],
 
8538
[1007078700,1],
 
8539
[1007102700,1],
 
8540
[1007122200,1],
 
8541
[1007143500,1],
 
8542
[1007165100,1],
 
8543
[1007186700,1],
 
8544
[1007208300,1],
 
8545
[1007229900,1],
 
8546
[1007251500,1],
 
8547
[1007273100,1],
 
8548
[1007294700,1],
 
8549
[1007316300,1],
 
8550
[1007337900,1],
 
8551
[1007359500,1],
 
8552
[1007381100,1],
 
8553
[1007402700,1],
 
8554
[1007424300,1],
 
8555
[1007445900,1],
 
8556
[1007467500,1],
 
8557
[1007489100,1],
 
8558
[1007510700,1],
 
8559
[1007532300,1],
 
8560
[1007553900,1],
 
8561
[1007575500,1],
 
8562
[1007597100,1],
 
8563
[1007618700,1],
 
8564
[1007640300,1],
 
8565
[1007661900,1],
 
8566
[1007683500,1],
 
8567
[1007705100,1],
 
8568
[1007726700,1],
 
8569
[1007748300,1],
 
8570
[1007769900,1],
 
8571
[1007791500,1],
 
8572
[1007813100,1],
 
8573
[1007834700,1],
 
8574
[1007856300,1],
 
8575
[1007877900,1],
 
8576
[1007899500,1],
 
8577
[1007921100,1],
 
8578
[1007942700,1],
 
8579
[1007964300,1],
 
8580
[1007985900,1],
 
8581
[1008007500,1],
 
8582
[1008029100,1],
 
8583
[1008050700,1],
 
8584
[1008072300,1],
 
8585
[1008093900,1],
 
8586
[1008115500,1],
 
8587
[1008137100,1],
 
8588
[1008158700,1],
 
8589
[1008180300,1],
 
8590
[1008201900,1],
 
8591
[1008223500,1],
 
8592
[1008245100,1],
 
8593
[1008266700,1],
 
8594
[1008288300,1],
 
8595
[1008309900,1],
 
8596
[1008331500,1],
 
8597
[1008353100,1],
 
8598
[1008374700,1],
 
8599
[1008396300,1],
 
8600
[1008417900,1],
 
8601
[1008439500,1],
 
8602
[1008461100,1],
 
8603
[1008482700,1],
 
8604
[1008504300,1],
 
8605
[1008525900,1],
 
8606
[1008547500,1],
 
8607
[1008655500,1],
 
8608
[1008677100,1],
 
8609
[1008698700,1],
 
8610
[1008720300,1],
 
8611
[1008741900,1],
 
8612
[1008763500,1],
 
8613
[1008785100,1],
 
8614
[1008806700,1],
 
8615
[1008828300,1],
 
8616
[1008849900,1],
 
8617
[1008871500,1],
 
8618
[1008893100,1],
 
8619
[1008914700,1],
 
8620
[1008936300,1],
 
8621
[1008957900,1],
 
8622
[1008979500,1],
 
8623
[1009001100,1],
 
8624
[1009022700,1],
 
8625
[1009044300,1],
 
8626
[1009065900,1],
 
8627
[1009087500,1],
 
8628
[1009109100,1],
 
8629
[1009152300,1],
 
8630
[1009173900,1],
 
8631
[1009195500,1],
 
8632
[1009217100,1],
 
8633
[1009238700,1],
 
8634
[1009260300,1],
 
8635
[1009281900,1],
 
8636
[1009303500,1],
 
8637
[1009325100,1],
 
8638
[1009346700,1],
 
8639
[1009368300,1],
 
8640
[1009390200,1],
 
8641
[1009411500,1],
 
8642
[1009433100,1],
 
8643
[1009454700,1],
 
8644
[1009476300,1],
 
8645
[1009497900,1],
 
8646
[1009519500,1],
 
8647
[1009541100,1],
 
8648
[1009562700,1],
 
8649
[1009584300,1],
 
8650
[1009605900,1],
 
8651
[1009627500,1],
 
8652
[1009649100,1],
 
8653
[1009670700,1],
 
8654
[1009692300,1],
 
8655
[1009713900,1],
 
8656
[1009735500,1],
 
8657
[1009757100,1],
 
8658
[1009778700,1],
 
8659
[1009800300,1],
 
8660
[1009821900,1],
 
8661
[1009843500,1],
 
8662
[1009865100,1],
 
8663
[1009886700,1],
 
8664
[1009908300,1],
 
8665
[1009929900,1],
 
8666
[1009951500,1],
 
8667
[1009973100,1],
 
8668
[1009994700,1],
 
8669
[1010016300,1],
 
8670
[1010037900,1],
 
8671
[1010059500,1],
 
8672
[1010081100,1],
 
8673
[1010102700,1],
 
8674
[1010124300,1],
 
8675
[1010145900,1],
 
8676
[1010167500,1],
 
8677
[1010189100,1],
 
8678
[1010210700,1],
 
8679
[1010253900,1],
 
8680
[1010275500,1],
 
8681
[1010297100,1],
 
8682
[1010318700,1],
 
8683
[1010361900,1],
 
8684
[1010383500,1],
 
8685
[1010405100,1],
 
8686
[1010426700,1],
 
8687
[1010448300,1],
 
8688
[1010469900,1],
 
8689
[1010491500,1],
 
8690
[1010513100,1],
 
8691
[1010534700,1],
 
8692
[1010556300,1],
 
8693
[1010577900,1],
 
8694
[1010599500,1],
 
8695
[1010621100,1],
 
8696
[1010642700,1],
 
8697
[1010664300,1],
 
8698
[1010685900,1],
 
8699
[1010707500,1],
 
8700
[1010729100,1],
 
8701
[1010750700,1],
 
8702
[1010772300,1],
 
8703
[1010788200,1],
 
8704
[1011055200,1],
 
8705
[1011074700,1],
 
8706
[1011096300,1],
 
8707
[1011117900,1],
 
8708
[1011139500,1],
 
8709
[1011161100,1],
 
8710
[1011182700,1],
 
8711
[1011204300,1],
 
8712
[1011225900,1],
 
8713
[1011247500,1],
 
8714
[1011269100,1],
 
8715
[1011290700,1],
 
8716
[1011317400,1],
 
8717
[1011333900,1],
 
8718
[1011355500,1],
 
8719
[1011377100,1],
 
8720
[1011398700,1],
 
8721
[1011420300,1],
 
8722
[1011441900,1],
 
8723
[1011463500,1],
 
8724
[1011485100,1],
 
8725
[1011506700,1],
 
8726
[1011528300,1],
 
8727
[1011549900,1],
 
8728
[1011571500,1],
 
8729
[1011593100,1],
 
8730
[1011614700,1],
 
8731
[1011636300,1],
 
8732
[1011657900,1],
 
8733
[1011679500,1],
 
8734
[1011701100,1],
 
8735
[1011722700,1],
 
8736
[1011744300,1],
 
8737
[1011765900,1],
 
8738
[1011787500,1],
 
8739
[1011809100,1],
 
8740
[1011830700,1],
 
8741
[1011852300,1],
 
8742
[1011873900,1],
 
8743
[1011895500,1],
 
8744
[1011917100,1],
 
8745
[1011938700,1],
 
8746
[1011960300,1],
 
8747
[1011981900,1],
 
8748
[1012003500,1],
 
8749
[1012025100,1],
 
8750
[1012046700,1],
 
8751
[1012068300,1],
 
8752
[1012089900,1],
 
8753
[1012111500,1],
 
8754
[1012133100,1],
 
8755
[1012154700,1],
 
8756
[1012176300,1],
 
8757
[1012197900,1],
 
8758
[1012219500,1],
 
8759
[1012241100,1],
 
8760
[1012262700,1],
 
8761
[1012284300,1],
 
8762
[1012305900,1],
 
8763
[1012327500,1],
 
8764
[1012349100,1],
 
8765
[1012370700,1],
 
8766
[1012392300,1],
 
8767
[1012413900,1],
 
8768
[1012435500,1],
 
8769
[1012457100,1],
 
8770
[1012478700,1],
 
8771
[1012500300,1],
 
8772
[1012521900,1],
 
8773
[1012543500,1],
 
8774
[1012565100,1],
 
8775
[1012586700,1],
 
8776
[1012608300,1],
 
8777
[1012629900,1],
 
8778
[1012673100,1],
 
8779
[1012694700,1],
 
8780
[1012716300,1],
 
8781
[1012737900,1],
 
8782
[1012759500,1],
 
8783
[1012781100,1],
 
8784
[1012802700,1],
 
8785
[1012824300,1],
 
8786
[1012845900,1],
 
8787
[1012867500,1],
 
8788
[1012889100,1],
 
8789
[1013044200,1],
 
8790
[1013061900,1],
 
8791
[1013083500,1],
 
8792
[1013105100,1],
 
8793
[1013126700,1],
 
8794
[1013148300,1],
 
8795
[1013169900,1],
 
8796
[1013191500,1],
 
8797
[1013210400,1],
 
8798
[1013234700,1],
 
8799
[1013256300,1],
 
8800
[1013277900,1],
 
8801
[1013299500,1],
 
8802
[1013321100,1],
 
8803
[1013342700,1],
 
8804
[1013364300,1],
 
8805
[1013383200,1],
 
8806
[1013407500,1],
 
8807
[1013429100,1],
 
8808
[1013450700,1],
 
8809
[1013472300,1],
 
8810
[1013493900,1],
 
8811
[1013515500,1],
 
8812
[1013537100,1],
 
8813
[1013558700,1],
 
8814
[1013580300,1],
 
8815
[1013601900,1],
 
8816
[1013623500,1],
 
8817
[1013645100,1],
 
8818
[1013666700,1],
 
8819
[1013688300,1],
 
8820
[1013709900,1],
 
8821
[1013731500,1],
 
8822
[1013753100,1],
 
8823
[1013774700,1],
 
8824
[1013796300,1],
 
8825
[1013817900,1],
 
8826
[1013839500,1],
 
8827
[1013861100,1],
 
8828
[1013882700,1],
 
8829
[1013904300,1],
 
8830
[1013925900,1],
 
8831
[1013947500,1],
 
8832
[1013969100,1],
 
8833
[1013990700,1],
 
8834
[1014012300,1],
 
8835
[1014033900,1],
 
8836
[1014055500,1],
 
8837
[1014077100,1],
 
8838
[1014098700,1],
 
8839
[1014120300,1],
 
8840
[1014141900,1],
 
8841
[1014163500,1],
 
8842
[1014185100,1],
 
8843
[1014206700,1],
 
8844
[1014228300,1],
 
8845
[1014249900,1],
 
8846
[1014271500,1],
 
8847
[1014293100,1],
 
8848
[1014314700,1],
 
8849
[1014336300,1],
 
8850
[1014357900,1],
 
8851
[1014379500,1],
 
8852
[1014401100,1],
 
8853
[1014422700,1],
 
8854
[1014444300,1],
 
8855
[1014465900,1],
 
8856
[1014487500,1],
 
8857
[1014509100,1],
 
8858
[1014530700,1],
 
8859
[1014552300,1],
 
8860
[1014573900,1],
 
8861
[1014595500,1],
 
8862
[1014617100,1],
 
8863
[1014638700,1],
 
8864
[1014660300,1],
 
8865
[1014681900,1],
 
8866
[1014703500,1],
 
8867
[1014725100,1],
 
8868
[1014746700,1],
 
8869
[1014768300,1],
 
8870
[1014789900,1],
 
8871
[1014811500,1],
 
8872
[1014833100,1],
 
8873
[1014854700,1],
 
8874
[1014876300,1],
 
8875
[1014897900,1],
 
8876
[1014919500,1],
 
8877
[1014941100,1],
 
8878
[1014962700,1],
 
8879
[1014984300,1],
 
8880
[1015005900,1],
 
8881
[1015027500,1],
 
8882
[1015049100,1],
 
8883
[1015070700,1],
 
8884
[1015092300,1],
 
8885
[1015113900,1],
 
8886
[1015135500,1],
 
8887
[1015157100,1],
 
8888
[1015178700,1],
 
8889
[1015200300,1],
 
8890
[1015221900,1],
 
8891
[1015243500,1],
 
8892
[1015265100,1],
 
8893
[1015286700,1],
 
8894
[1015308300,1],
 
8895
[1015329900,1],
 
8896
[1015351500,1],
 
8897
[1015373100,1],
 
8898
[1015394700,1],
 
8899
[1015416300,1],
 
8900
[1015437900,1],
 
8901
[1015459500,1],
 
8902
[1015481100,1],
 
8903
[1015502700,1],
 
8904
[1015524300,1],
 
8905
[1015545900,1],
 
8906
[1015567500,1],
 
8907
[1015589100,1],
 
8908
[1015610700,1],
 
8909
[1015632300,1],
 
8910
[1015653900,1],
 
8911
[1015675500,1],
 
8912
[1015697100,1],
 
8913
[1015718700,1],
 
8914
[1015740300,1],
 
8915
[1015761900,1],
 
8916
[1015783500,1],
 
8917
[1015805100,1],
 
8918
[1015826700,1],
 
8919
[1015848300,1],
 
8920
[1015869900,1],
 
8921
[1015891500,1],
 
8922
[1015913100,1],
 
8923
[1015934700,1],
 
8924
[1015956300,1],
 
8925
[1015977900,1],
 
8926
[1015999500,1],
 
8927
[1016021100,1],
 
8928
[1016042700,1],
 
8929
[1016060700,1],
 
8930
[1016085900,1],
 
8931
[1016107500,1],
 
8932
[1016129100,1],
 
8933
[1016172300,1],
 
8934
[1016193900,1],
 
8935
[1016215500,1],
 
8936
[1016237100,1],
 
8937
[1016258700,1],
 
8938
[1016280300,1],
 
8939
[1016301900,1],
 
8940
[1016345100,1],
 
8941
[1016366700,1],
 
8942
[1016388300,1],
 
8943
[1016409900,1],
 
8944
[1016431500,1],
 
8945
[1016453100,1],
 
8946
[1016474700,1],
 
8947
[1016496300,1],
 
8948
[1016517900,1],
 
8949
[1016539500,1],
 
8950
[1016561100,1],
 
8951
[1016582700,1],
 
8952
[1016604300,1],
 
8953
[1016625900,1],
 
8954
[1016647500,1],
 
8955
[1016669100,1],
 
8956
[1016690700,1],
 
8957
[1016712300,1],
 
8958
[1016733900,1],
 
8959
[1016755500,1],
 
8960
[1016777100,1],
 
8961
[1016798700,1],
 
8962
[1016820300,1],
 
8963
[1016841900,1],
 
8964
[1016863500,1],
 
8965
[1016885100,1],
 
8966
[1016906700,1],
 
8967
[1016949900,1],
 
8968
[1016971500,1],
 
8969
[1016993100,1],
 
8970
[1017014700,1],
 
8971
[1017036300,1],
 
8972
[1017057900,1],
 
8973
[1017079500,1],
 
8974
[1017101700,1],
 
8975
[1017122700,1],
 
8976
[1017144300,1],
 
8977
[1017165900,1],
 
8978
[1017187500,1],
 
8979
[1017209100,1],
 
8980
[1017230700,1],
 
8981
[1017252300,1],
 
8982
[1017295500,1],
 
8983
[1017317100,1],
 
8984
[1017338700,1],
 
8985
[1017360300,1],
 
8986
[1017403500,1],
 
8987
[1017425100,1],
 
8988
[1017446700,1],
 
8989
[1017801900,1],
 
8990
[1017813900,1],
 
8991
[1017835500,1],
 
8992
[1017857100,1],
 
8993
[1017878700,1],
 
8994
[1017900300,1],
 
8995
[1017921900,1],
 
8996
[1017943500,1],
 
8997
[1017965100,1],
 
8998
[1017986700,1],
 
8999
[1018008300,1],
 
9000
[1018029900,1],
 
9001
[1018051500,1],
 
9002
[1018073100,1],
 
9003
[1018094700,1],
 
9004
[1018116300,1],
 
9005
[1018137900,1],
 
9006
[1018159500,1],
 
9007
[1018177500,1],
 
9008
[1018199100,1],
 
9009
[1018220700,1],
 
9010
[1018242300,1],
 
9011
[1018263900,1],
 
9012
[1018285500,1],
 
9013
[1018307100,1],
 
9014
[1018328700,1],
 
9015
[1018350300,1],
 
9016
[1018371900,1],
 
9017
[1018393500,1],
 
9018
[1018415100,1],
 
9019
[1018436700,1],
 
9020
[1018458300,1],
 
9021
[1018479900,1],
 
9022
[1018501500,1],
 
9023
[1018523100,1],
 
9024
[1018544700,1],
 
9025
[1018566300,1],
 
9026
[1018587900,1],
 
9027
[1018609500,1],
 
9028
[1018631100,1],
 
9029
[1018652700,1],
 
9030
[1018674300,1],
 
9031
[1018695900,1],
 
9032
[1018717500,1],
 
9033
[1018739100,1],
 
9034
[1018760700,1],
 
9035
[1018782300,1],
 
9036
[1018803900,1],
 
9037
[1018825500,1],
 
9038
[1018847100,1],
 
9039
[1018868700,1],
 
9040
[1018890300,1],
 
9041
[1018911900,1],
 
9042
[1018936500,1],
 
9043
[1018955100,1],
 
9044
[1018976700,1],
 
9045
[1018998300,1],
 
9046
[1019019900,1],
 
9047
[1019041500,1],
 
9048
[1019063100,1],
 
9049
[1019084700,1],
 
9050
[1019106300,1],
 
9051
[1019127900,1],
 
9052
[1019149500,1],
 
9053
[1019171100,1],
 
9054
[1019192700,1],
 
9055
[1019214300,1],
 
9056
[1019235900,1],
 
9057
[1019257500,1],
 
9058
[1019279100,1],
 
9059
[1019300700,1],
 
9060
[1019322300,1],
 
9061
[1019343900,1],
 
9062
[1019365500,1],
 
9063
[1019388600,1],
 
9064
[1019430300,1],
 
9065
[1019457000,1],
 
9066
[1019481900,1],
 
9067
[1019495700,1],
 
9068
[1019516700,1],
 
9069
[1019538300,1],
 
9070
[1019560500,1],
 
9071
[1019603100,1],
 
9072
[1019624700,1],
 
9073
[1019646300,1],
 
9074
[1019667900,1],
 
9075
[1019689500,1],
 
9076
[1019757600,1],
 
9077
[1019781600,1],
 
9078
[1019797500,1],
 
9079
[1019819100,1],
 
9080
[1019840700,1],
 
9081
[1019862300,1],
 
9082
[1019883900,1],
 
9083
[1019905500,1],
 
9084
[1019930700,1],
 
9085
[1019948700,1],
 
9086
[1019970300,1],
 
9087
[1019991900,1],
 
9088
[1020013500,1],
 
9089
[1020035100,1],
 
9090
[1020056700,1],
 
9091
[1020078300,1],
 
9092
[1020099900,1],
 
9093
[1020121500,1],
 
9094
[1020143100,1],
 
9095
[1020164700,1],
 
9096
[1020186300,1],
 
9097
[1020229500,1],
 
9098
[1020251100,1],
 
9099
[1020272700,1],
 
9100
[1020288900,1],
 
9101
[1020315900,1],
 
9102
[1020337500,1],
 
9103
[1020359100,1],
 
9104
[1020380700,1],
 
9105
[1020402300,1],
 
9106
[1020423900,1],
 
9107
[1020445500,1],
 
9108
[1020467100,1],
 
9109
[1020488700,1],
 
9110
[1020510300,1],
 
9111
[1020531900,1],
 
9112
[1020553500,1],
 
9113
[1020575100,1],
 
9114
[1020596700,1],
 
9115
[1020618300,1],
 
9116
[1020639900,1],
 
9117
[1020661500,1],
 
9118
[1020683100,1],
 
9119
[1020704700,1],
 
9120
[1020726300,1],
 
9121
[1020747900,1],
 
9122
[1020769500,1],
 
9123
[1020791100,1],
 
9124
[1020812700,1],
 
9125
[1020834300,1],
 
9126
[1020855900,1],
 
9127
[1020877500,1],
 
9128
[1020899100,1],
 
9129
[1020920700,1],
 
9130
[1020942300,1],
 
9131
[1020963900,1],
 
9132
[1020985500,1],
 
9133
[1021007100,1],
 
9134
[1021028700,1],
 
9135
[1021050300,1],
 
9136
[1021071900,1],
 
9137
[1021093500,1],
 
9138
[1021115100,1],
 
9139
[1021136700,1],
 
9140
[1021158300,1],
 
9141
[1021179900,1],
 
9142
[1021201500,1],
 
9143
[1021244700,1],
 
9144
[1021266300,1],
 
9145
[1021287900,1],
 
9146
[1021331100,1],
 
9147
[1021352700,1],
 
9148
[1021374300,1],
 
9149
[1021399500,1],
 
9150
[1021417800,1],
 
9151
[1021439100,1],
 
9152
[1021460700,1],
 
9153
[1021482300,1],
 
9154
[1021503900,1],
 
9155
[1021547100,1],
 
9156
[1021568700,1],
 
9157
[1021590300,1],
 
9158
[1021611900,1],
 
9159
[1021633500,1],
 
9160
[1021655100,1],
 
9161
[1021676700,1],
 
9162
[1021698300,1],
 
9163
[1021719900,1],
 
9164
[1021741500,1],
 
9165
[1021763100,1],
 
9166
[1021784700,1],
 
9167
[1021806300,1],
 
9168
[1021827900,1],
 
9169
[1021849500,1],
 
9170
[1021871100,1],
 
9171
[1021892700,1],
 
9172
[1021914300,1],
 
9173
[1021935900,1],
 
9174
[1021957500,1],
 
9175
[1021979100,1],
 
9176
[1022000700,1],
 
9177
[1022022300,1],
 
9178
[1022043900,1],
 
9179
[1022065500,1],
 
9180
[1022087100,1],
 
9181
[1022108700,1],
 
9182
[1022130300,1],
 
9183
[1022151900,1],
 
9184
[1022173500,1],
 
9185
[1022195100,1],
 
9186
[1022216700,1],
 
9187
[1022238300,1],
 
9188
[1022259900,1],
 
9189
[1022281500,1],
 
9190
[1022303100,1],
 
9191
[1022324700,1],
 
9192
[1022346300,1],
 
9193
[1022367900,1],
 
9194
[1022389500,1],
 
9195
[1022411100,1],
 
9196
[1022432700,1],
 
9197
[1022454300,1],
 
9198
[1022475900,1],
 
9199
[1022497500,1],
 
9200
[1022519100,1],
 
9201
[1022540700,1],
 
9202
[1022562300,1],
 
9203
[1022583900,1],
 
9204
[1022605500,1],
 
9205
[1022627100,1],
 
9206
[1022648700,1],
 
9207
[1022670300,1],
 
9208
[1022691900,1],
 
9209
[1022713500,1],
 
9210
[1022735100,1],
 
9211
[1022756700,1],
 
9212
[1022778300,1],
 
9213
[1022799900,1],
 
9214
[1022821500,1],
 
9215
[1022843100,1],
 
9216
[1022864700,1],
 
9217
[1022886300,1],
 
9218
[1022916600,1],
 
9219
[1022929500,1],
 
9220
[1022951100,1],
 
9221
[1022972700,1],
 
9222
[1022994300,1],
 
9223
[1023015900,1],
 
9224
[1023037500,1],
 
9225
[1023059100,1],
 
9226
[1023080700,1],
 
9227
[1023102300,1],
 
9228
[1023123900,1],
 
9229
[1023145500,1],
 
9230
[1023167100,1],
 
9231
[1023188700,1],
 
9232
[1023210300,1],
 
9233
[1023231900,1],
 
9234
[1023253500,1],
 
9235
[1023275100,1],
 
9236
[1023296700,1],
 
9237
[1023318300,1],
 
9238
[1023339900,1],
 
9239
[1023361500,1],
 
9240
[1023383100,1],
 
9241
[1023404700,1],
 
9242
[1023426300,1],
 
9243
[1023447900,1],
 
9244
[1023469500,1],
 
9245
[1023491100,1],
 
9246
[1023512700,1],
 
9247
[1023534300,1],
 
9248
[1023555900,1],
 
9249
[1023577500,1],
 
9250
[1023599100,1],
 
9251
[1023620700,1],
 
9252
[1023642300,1],
 
9253
[1023663900,1],
 
9254
[1023685500,1],
 
9255
[1023707100,1],
 
9256
[1023728700,1],
 
9257
[1023750300,1],
 
9258
[1023771900,1],
 
9259
[1023793500,1],
 
9260
[1023815100,1],
 
9261
[1023836700,1],
 
9262
[1023858300,1],
 
9263
[1023879900,1],
 
9264
[1023901500,1],
 
9265
[1023923100,1],
 
9266
[1023944700,1],
 
9267
[1023966300,1],
 
9268
[1023989700,1],
 
9269
[1024009500,1],
 
9270
[1024032900,1],
 
9271
[1024052700,1],
 
9272
[1024074300,1],
 
9273
[1024091400,1],
 
9274
[1024457100,1],
 
9275
[1024463100,1],
 
9276
[1024484700,1],
 
9277
[1024506300,1],
 
9278
[1024527900,1],
 
9279
[1024549500,1],
 
9280
[1024571100,1],
 
9281
[1024592700,1],
 
9282
[1024615200,1],
 
9283
[1024635900,1],
 
9284
[1024657500,1],
 
9285
[1024679100,1],
 
9286
[1024700700,1],
 
9287
[1024722300,1],
 
9288
[1024743900,1],
 
9289
[1024765500,1],
 
9290
[1024787100,1],
 
9291
[1024808700,1],
 
9292
[1024830300,1],
 
9293
[1024851900,1],
 
9294
[1024873500,1],
 
9295
[1024895100,1],
 
9296
[1024916700,1],
 
9297
[1024938300,1],
 
9298
[1024959900,1],
 
9299
[1024981500,1],
 
9300
[1025003100,1],
 
9301
[1025024700,1],
 
9302
[1025046300,1],
 
9303
[1025067900,1],
 
9304
[1025089500,1],
 
9305
[1025132700,1],
 
9306
[1025154300,1],
 
9307
[1025175900,1],
 
9308
[1025197500,1],
 
9309
[1025219100,1],
 
9310
[1025240700,1],
 
9311
[1025262300,1],
 
9312
[1025283900,1],
 
9313
[1025305500,1],
 
9314
[1025327100,1],
 
9315
[1025348700,1],
 
9316
[1025370300,1],
 
9317
[1025391900,1],
 
9318
[1025435100,1],
 
9319
[1025456700,1],
 
9320
[1025478300,1],
 
9321
[1025499900,1],
 
9322
[1025521500,1],
 
9323
[1025543100,1],
 
9324
[1025564700,1],
 
9325
[1025607900,1],
 
9326
[1025629500,1],
 
9327
[1025651100,1],
 
9328
[1025672700,1],
 
9329
[1025694300,1],
 
9330
[1025715900,1],
 
9331
[1025737500,1],
 
9332
[1025759100,1],
 
9333
[1025780700,1],
 
9334
[1025802300,1],
 
9335
[1025823900,1],
 
9336
[1025845500,1],
 
9337
[1025867100,1],
 
9338
[1025888700,1],
 
9339
[1025910300,1],
 
9340
[1025931900,1],
 
9341
[1025953500,1],
 
9342
[1025975100,1],
 
9343
[1025996700,1],
 
9344
[1026018300,1],
 
9345
[1026039900,1],
 
9346
[1026061500,1],
 
9347
[1026083100,1],
 
9348
[1026104700,1],
 
9349
[1026126300,1],
 
9350
[1026147900,1],
 
9351
[1026169500,1],
 
9352
[1026191100,1],
 
9353
[1026212700,1],
 
9354
[1026234300,1],
 
9355
[1026255900,1],
 
9356
[1026277500,1],
 
9357
[1026299100,1],
 
9358
[1026320700,1],
 
9359
[1026342300,1],
 
9360
[1026363900,1],
 
9361
[1026385500,1],
 
9362
[1026407100,1],
 
9363
[1026428700,1],
 
9364
[1026450300,1],
 
9365
[1026515100,1],
 
9366
[1026536700,1],
 
9367
[1026558300,1],
 
9368
[1026579900,1],
 
9369
[1026601500,1],
 
9370
[1026623100,1],
 
9371
[1026644700,1],
 
9372
[1026666300,1],
 
9373
[1026687900,1],
 
9374
[1026709500,1],
 
9375
[1026731100,1],
 
9376
[1026752700,1],
 
9377
[1026774300,1],
 
9378
[1026795900,1],
 
9379
[1026817500,1],
 
9380
[1026839100,1],
 
9381
[1026860700,1],
 
9382
[1026882300,1],
 
9383
[1026903900,1],
 
9384
[1026925500,1],
 
9385
[1026947100,1],
 
9386
[1026968700,1],
 
9387
[1026990300,1],
 
9388
[1027011900,1],
 
9389
[1027033500,1],
 
9390
[1027055100,1],
 
9391
[1027076700,1],
 
9392
[1027098300,1],
 
9393
[1027119900,1],
 
9394
[1027141500,1],
 
9395
[1027163100,1],
 
9396
[1027184700,1],
 
9397
[1027206300,1],
 
9398
[1027227900,1],
 
9399
[1027249500,1],
 
9400
[1027271100,1],
 
9401
[1027292700,1],
 
9402
[1027314300,1],
 
9403
[1027335900,1],
 
9404
[1027357500,1],
 
9405
[1027379100,1],
 
9406
[1027400700,1],
 
9407
[1027422300,1],
 
9408
[1027433100,1],
 
9409
[1027443900,1],
 
9410
[1027465500,1],
 
9411
[1027508700,1],
 
9412
[1027519500,1],
 
9413
[1027530300,1],
 
9414
[1027551900,1],
 
9415
[1027595100,1],
 
9416
[1027605900,1],
 
9417
[1027616700,1],
 
9418
[1027638300,1],
 
9419
[1027659900,1],
 
9420
[1027681500,1],
 
9421
[1027692300,1],
 
9422
[1027703100,1],
 
9423
[1027724700,1],
 
9424
[1027746300,1],
 
9425
[1027767900,1],
 
9426
[1027778700,1],
 
9427
[1027789500,1],
 
9428
[1027811100,1],
 
9429
[1027832700,1],
 
9430
[1027854300,1],
 
9431
[1027865100,1],
 
9432
[1027875900,1],
 
9433
[1027897500,1],
 
9434
[1027919100,1],
 
9435
[1027940700,1],
 
9436
[1027951500,1],
 
9437
[1027962300,1],
 
9438
[1027983900,1],
 
9439
[1028005500,1],
 
9440
[1028027100,1],
 
9441
[1028037900,1],
 
9442
[1028048700,1],
 
9443
[1028070300,1],
 
9444
[1028091900,1],
 
9445
[1028113500,1],
 
9446
[1028124300,1],
 
9447
[1028135100,1],
 
9448
[1028156700,1],
 
9449
[1028178300,1],
 
9450
[1028199900,1],
 
9451
[1028210700,1],
 
9452
[1028221500,1],
 
9453
[1028243100,1],
 
9454
[1028264700,1],
 
9455
[1028286300,1],
 
9456
[1028297100,1],
 
9457
[1028307900,1],
 
9458
[1028329500,1],
 
9459
[1028351100,1],
 
9460
[1028372700,1],
 
9461
[1028394300,1],
 
9462
[1028415900,1],
 
9463
[1028437500,1],
 
9464
[1028459100,1],
 
9465
[1028480700,1],
 
9466
[1028502300,1],
 
9467
[1028523900,1],
 
9468
[1028545500,1],
 
9469
[1028567100,1],
 
9470
[1028588700,1],
 
9471
[1028610300,1],
 
9472
[1028631900,1],
 
9473
[1028653500,1],
 
9474
[1028671500,1],
 
9475
[1028673300,1],
 
9476
[1028675100,1],
 
9477
[1028696700,1],
 
9478
[1028718300,1],
 
9479
[1028739900,1],
 
9480
[1028761500,1],
 
9481
[1028783100,1],
 
9482
[1028804700,1],
 
9483
[1028826300,1],
 
9484
[1028847900,1],
 
9485
[1028869500,1],
 
9486
[1028891100,1],
 
9487
[1028912700,1],
 
9488
[1028934300,1],
 
9489
[1028955900,1],
 
9490
[1028977500,1],
 
9491
[1028999100,1],
 
9492
[1029020700,1],
 
9493
[1029042300,1],
 
9494
[1029063900,1],
 
9495
[1029085500,1],
 
9496
[1029107100,1],
 
9497
[1029128700,1],
 
9498
[1029150300,1],
 
9499
[1029173400,1],
 
9500
[1029193500,1],
 
9501
[1029215100,1],
 
9502
[1029236700,1],
 
9503
[1029258300,1],
 
9504
[1029279900,1],
 
9505
[1029301500,1],
 
9506
[1029323100,1],
 
9507
[1029344700,1],
 
9508
[1029366300,1],
 
9509
[1029387900,1],
 
9510
[1029409500,1],
 
9511
[1029431100,1],
 
9512
[1029452700,1],
 
9513
[1029474300,1],
 
9514
[1029495900,1],
 
9515
[1029517500,1],
 
9516
[1029539100,1],
 
9517
[1029542700,1],
 
9518
[1029560700,1],
 
9519
[1029582300,1],
 
9520
[1029603900,1],
 
9521
[1029625500,1],
 
9522
[1029647100,1],
 
9523
[1029668700,1],
 
9524
[1029690300,1],
 
9525
[1029711900,1],
 
9526
[1029733500,1],
 
9527
[1029755100,1],
 
9528
[1029776700,1],
 
9529
[1029798300,1],
 
9530
[1029804000,1],
 
9531
[1029823500,1],
 
9532
[1029841500,1],
 
9533
[1029875400,1],
 
9534
[1029877200,1],
 
9535
[1029879600,1],
 
9536
[1029891600,1],
 
9537
[1029906300,1],
 
9538
[1029927900,1],
 
9539
[1029949500,1],
 
9540
[1029971100,1],
 
9541
[1029992700,1],
 
9542
[1030014300,1],
 
9543
[1030035900,1],
 
9544
[1030079100,1],
 
9545
[1030100700,1],
 
9546
[1030122300,1],
 
9547
[1030143900,1],
 
9548
[1030165500,1],
 
9549
[1030188000,1],
 
9550
[1030210500,1],
 
9551
[1030231200,1],
 
9552
[1030251900,1],
 
9553
[1030273500,1],
 
9554
[1030295100,1],
 
9555
[1030316700,1],
 
9556
[1030338300,1],
 
9557
[1030359900,1],
 
9558
[1030381500,1],
 
9559
[1030403100,1],
 
9560
[1030424700,1],
 
9561
[1030446300,1],
 
9562
[1030467900,1],
 
9563
[1030488900,1],
 
9564
[1030511100,1],
 
9565
[1030532700,1],
 
9566
[1030554300,1],
 
9567
[1030575900,1],
 
9568
[1030597500,1],
 
9569
[1030619100,1],
 
9570
[1030640700,1],
 
9571
[1030662300,1],
 
9572
[1030683900,1],
 
9573
[1030705500,1],
 
9574
[1030727100,1],
 
9575
[1030748700,1],
 
9576
[1031103300,1],
 
9577
[1031115900,1],
 
9578
[1031137500,1],
 
9579
[1031159100,1],
 
9580
[1031180700,1],
 
9581
[1031202300,1],
 
9582
[1031223900,1],
 
9583
[1031245500,1],
 
9584
[1031288700,1],
 
9585
[1031310300,1],
 
9586
[1031331900,1],
 
9587
[1031353500,1],
 
9588
[1031375100,1],
 
9589
[1031396700,1],
 
9590
[1031418300,1],
 
9591
[1031439900,1],
 
9592
[1031461500,1],
 
9593
[1031483100,1],
 
9594
[1031504700,1],
 
9595
[1031526300,1],
 
9596
[1031547900,1],
 
9597
[1031569500,1],
 
9598
[1031591100,1],
 
9599
[1031612700,1],
 
9600
[1031634300,1],
 
9601
[1031655900,1],
 
9602
[1031677500,1],
 
9603
[1031699100,1],
 
9604
[1031720700,1],
 
9605
[1031742300,1],
 
9606
[1031763900,1],
 
9607
[1031785500,1],
 
9608
[1031807100,1],
 
9609
[1031828700,1],
 
9610
[1031850300,1],
 
9611
[1031871900,1],
 
9612
[1031893500,1],
 
9613
[1031915100,1],
 
9614
[1031936700,1],
 
9615
[1031958300,1],
 
9616
[1031979900,1],
 
9617
[1032001500,1],
 
9618
[1032023100,1],
 
9619
[1032044700,1],
 
9620
[1032066300,1],
 
9621
[1032087900,1],
 
9622
[1032109500,1],
 
9623
[1032131100,1],
 
9624
[1032152700,1],
 
9625
[1032174300,1],
 
9626
[1032195900,1],
 
9627
[1032217500,1],
 
9628
[1032239100,1],
 
9629
[1032260700,1],
 
9630
[1032282300,1],
 
9631
[1032303900,1],
 
9632
[1032325500,1],
 
9633
[1032347100,1],
 
9634
[1032368700,1],
 
9635
[1032390300,1],
 
9636
[1032411900,1],
 
9637
[1032433500,1],
 
9638
[1032455100,1],
 
9639
[1032476700,1],
 
9640
[1032498300,1],
 
9641
[1032519900,1],
 
9642
[1032541500,1],
 
9643
[1032563100,1],
 
9644
[1032584700,1],
 
9645
[1032606300,1],
 
9646
[1032627900,1],
 
9647
[1032649500,1],
 
9648
[1032671100,1],
 
9649
[1032692700,1],
 
9650
[1032714300,1],
 
9651
[1032735900,1],
 
9652
[1032757500,1],
 
9653
[1032779100,1],
 
9654
[1032800700,1],
 
9655
[1032822300,1],
 
9656
[1032843900,1],
 
9657
[1032865500,1],
 
9658
[1032887100,1],
 
9659
[1032908700,1],
 
9660
[1032930300,1],
 
9661
[1032951900,1],
 
9662
[1032973500,1],
 
9663
[1032995100,1],
 
9664
[1033016700,1],
 
9665
[1033038300,1],
 
9666
[1033059900,1],
 
9667
[1033081500,1],
 
9668
[1033103100,1],
 
9669
[1033124700,1],
 
9670
[1033146300,1],
 
9671
[1033167900,1],
 
9672
[1033189500,1],
 
9673
[1033211100,1],
 
9674
[1033232700,1],
 
9675
[1033254300,1],
 
9676
[1033275900,1],
 
9677
[1033297500,1],
 
9678
[1033319100,1],
 
9679
[1033340700,1],
 
9680
[1033362300,1],
 
9681
[1033383900,1],
 
9682
[1033405500,1],
 
9683
[1033427100,1],
 
9684
[1033448700,1],
 
9685
[1033470300,1],
 
9686
[1033491900,1],
 
9687
[1033513500,1],
 
9688
[1033535100,1],
 
9689
[1033556700,1],
 
9690
[1033578300,1],
 
9691
[1033599900,1],
 
9692
[1033621500,1],
 
9693
[1033643100,1],
 
9694
[1033664700,1],
 
9695
[1033686300,1],
 
9696
[1033707900,1],
 
9697
[1033729500,1],
 
9698
[1033751100,1],
 
9699
[1033772700,1],
 
9700
[1033794300,1],
 
9701
[1033815900,1],
 
9702
[1033837500,1],
 
9703
[1033859100,1],
 
9704
[1033880700,1],
 
9705
[1033902300,1],
 
9706
[1033923900,1],
 
9707
[1033945500,1],
 
9708
[1033967100,1],
 
9709
[1033988700,1],
 
9710
[1034010300,1],
 
9711
[1034031900,1],
 
9712
[1034053500,1],
 
9713
[1034075100,1],
 
9714
[1034096700,1],
 
9715
[1034118300,1],
 
9716
[1034139900,1],
 
9717
[1034161500,1],
 
9718
[1034183100,1],
 
9719
[1034204700,1],
 
9720
[1034226300,1],
 
9721
[1034247900,1],
 
9722
[1034269500,1],
 
9723
[1034291100,1],
 
9724
[1034312700,1],
 
9725
[1034334300,1],
 
9726
[1034355900,1],
 
9727
[1034377500,1],
 
9728
[1034399100,1],
 
9729
[1034420700,1],
 
9730
[1034442300,1],
 
9731
[1034463900,1],
 
9732
[1034485500,1],
 
9733
[1034507100,1],
 
9734
[1034528700,1],
 
9735
[1034571900,1],
 
9736
[1034593500,1],
 
9737
[1034615100,1],
 
9738
[1034636700,1],
 
9739
[1034658300,1],
 
9740
[1034679900,1],
 
9741
[1034705100,1],
 
9742
[1034723100,1],
 
9743
[1034744700,1],
 
9744
[1034766300,1],
 
9745
[1034787900,1],
 
9746
[1034809500,1],
 
9747
[1034831100,1],
 
9748
[1034852700,1],
 
9749
[1034874300,1],
 
9750
[1034895900,1],
 
9751
[1034917500,1],
 
9752
[1034939100,1],
 
9753
[1034960700,1],
 
9754
[1034982300,1],
 
9755
[1035003900,1],
 
9756
[1035025500,1],
 
9757
[1035047100,1],
 
9758
[1035090300,1],
 
9759
[1035111900,1],
 
9760
[1035133500,1],
 
9761
[1035155100,1],
 
9762
[1035176700,1],
 
9763
[1035198300,1],
 
9764
[1035219900,1],
 
9765
[1035241500,1],
 
9766
[1035263100,1],
 
9767
[1035284700,1],
 
9768
[1035306300,1],
 
9769
[1035327900,1],
 
9770
[1035349500,1],
 
9771
[1035371100,1],
 
9772
[1035392700,1],
 
9773
[1035414300,1],
 
9774
[1035435900,1],
 
9775
[1035457500,1],
 
9776
[1035479100,1],
 
9777
[1035500700,1],
 
9778
[1035522300,1],
 
9779
[1035543900,1],
 
9780
[1035565500,1],
 
9781
[1035587100,1],
 
9782
[1035608700,1],
 
9783
[1035630300,1],
 
9784
[1035651900,1],
 
9785
[1035673500,1],
 
9786
[1035698700,1],
 
9787
[1035720300,1],
 
9788
[1035741900,1],
 
9789
[1035763500,1],
 
9790
[1035785100,1],
 
9791
[1035806700,1],
 
9792
[1035828300,1],
 
9793
[1035849900,1],
 
9794
[1035871500,1],
 
9795
[1035893100,1],
 
9796
[1035914700,1],
 
9797
[1035936300,1],
 
9798
[1035957900,1],
 
9799
[1035979500,1],
 
9800
[1036001100,1],
 
9801
[1036022700,1],
 
9802
[1036044300,1],
 
9803
[1036065900,1],
 
9804
[1036087500,1],
 
9805
[1036109100,1],
 
9806
[1036130700,1],
 
9807
[1036152300,1],
 
9808
[1036173900,1],
 
9809
[1036195500,1],
 
9810
[1036217100,1],
 
9811
[1036238700,1],
 
9812
[1036260300,1],
 
9813
[1036281900,1],
 
9814
[1036303500,1],
 
9815
[1036325100,1],
 
9816
[1036346700,1],
 
9817
[1036368300,1],
 
9818
[1036389900,1],
 
9819
[1036411500,1],
 
9820
[1036433100,1],
 
9821
[1036454700,1],
 
9822
[1036476300,1],
 
9823
[1036497900,1],
 
9824
[1036519500,1],
 
9825
[1036541100,1],
 
9826
[1036562700,1],
 
9827
[1036584300,1],
 
9828
[1036605900,1],
 
9829
[1036627500,1],
 
9830
[1036649100,1],
 
9831
[1036670700,1],
 
9832
[1036692300,1],
 
9833
[1036713900,1],
 
9834
[1036735500,1],
 
9835
[1036757100,1],
 
9836
[1036778700,1],
 
9837
[1036800300,1],
 
9838
[1036821900,1],
 
9839
[1036843500,1],
 
9840
[1036865100,1],
 
9841
[1036886700,1],
 
9842
[1036908300,1],
 
9843
[1036929900,1],
 
9844
[1036951500,1],
 
9845
[1036973100,1],
 
9846
[1036994700,1],
 
9847
[1037016300,1],
 
9848
[1037037900,1],
 
9849
[1037059500,1],
 
9850
[1037081100,1],
 
9851
[1037102700,1],
 
9852
[1037124300,1],
 
9853
[1037145900,1],
 
9854
[1037167500,1],
 
9855
[1037189100,1],
 
9856
[1037210700,1],
 
9857
[1037232300,1],
 
9858
[1037253900,1],
 
9859
[1037275500,1],
 
9860
[1037297100,1],
 
9861
[1037679900,1],
 
9862
[1037707500,1],
 
9863
[1037729100,1],
 
9864
[1037750700,1],
 
9865
[1037772300,1],
 
9866
[1037793900,1],
 
9867
[1037815500,1],
 
9868
[1037837100,1],
 
9869
[1037858700,1],
 
9870
[1037880300,1],
 
9871
[1037901900,1],
 
9872
[1037923500,1],
 
9873
[1037945100,1],
 
9874
[1037966700,1],
 
9875
[1037988300,1],
 
9876
[1038009900,1],
 
9877
[1038031500,1],
 
9878
[1038053100,1],
 
9879
[1038074700,1],
 
9880
[1038096300,1],
 
9881
[1038117900,1],
 
9882
[1038139500,1],
 
9883
[1038161100,1],
 
9884
[1038182700,1],
 
9885
[1038204300,1],
 
9886
[1038225900,1],
 
9887
[1038247500,1],
 
9888
[1038269100,1],
 
9889
[1038290700,1],
 
9890
[1038312300,1],
 
9891
[1038333900,1],
 
9892
[1038355500,1],
 
9893
[1038377100,1],
 
9894
[1038398700,1],
 
9895
[1038420300,1],
 
9896
[1038441900,1],
 
9897
[1038463500,1],
 
9898
[1038485100,1],
 
9899
[1038506700,1],
 
9900
[1038528300,1],
 
9901
[1038549900,1],
 
9902
[1038571500,1],
 
9903
[1038593100,1],
 
9904
[1038614700,1],
 
9905
[1038636300,1],
 
9906
[1038657900,1],
 
9907
[1038679500,1],
 
9908
[1038701100,1],
 
9909
[1038722700,1],
 
9910
[1038744300,1],
 
9911
[1038765900,1],
 
9912
[1038787500,1],
 
9913
[1038809100,1],
 
9914
[1038830700,1],
 
9915
[1038852300,1],
 
9916
[1038873900,1],
 
9917
[1038895500,1],
 
9918
[1038917100,1],
 
9919
[1038938700,1],
 
9920
[1038960300,1],
 
9921
[1038981900,1],
 
9922
[1038997500,1],
 
9923
[1039005300,1],
 
9924
[1039012500,1],
 
9925
[1039025100,1],
 
9926
[1039046700,1],
 
9927
[1039068300,1],
 
9928
[1039089900,1],
 
9929
[1039111500,1],
 
9930
[1039133100,1],
 
9931
[1039154700,1],
 
9932
[1039176300,1],
 
9933
[1039197900,1],
 
9934
[1039219500,1],
 
9935
[1039241100,1],
 
9936
[1039262700,1],
 
9937
[1039284300,1],
 
9938
[1039305900,1],
 
9939
[1039327500,1],
 
9940
[1039349100,1],
 
9941
[1039370700,1],
 
9942
[1039392300,1],
 
9943
[1039413900,1],
 
9944
[1039435500,1],
 
9945
[1039457100,1],
 
9946
[1039478700,1],
 
9947
[1039500300,1],
 
9948
[1039521900,1],
 
9949
[1039543500,1],
 
9950
[1039565100,1],
 
9951
[1039586700,1],
 
9952
[1039608300,1],
 
9953
[1039629900,1],
 
9954
[1039651500,1],
 
9955
[1039673100,1],
 
9956
[1039716300,1],
 
9957
[1039737900,1],
 
9958
[1039759500,1],
 
9959
[1039781100,1],
 
9960
[1039802700,1],
 
9961
[1039824300,1],
 
9962
[1039845900,1],
 
9963
[1039867500,1],
 
9964
[1039889100,1],
 
9965
[1039910700,1],
 
9966
[1039932300,1],
 
9967
[1039953900,1],
 
9968
[1039975500,1],
 
9969
[1039997100,1],
 
9970
[1040018700,1],
 
9971
[1040040300,1],
 
9972
[1040061900,1],
 
9973
[1040083500,1],
 
9974
[1040105100,1],
 
9975
[1040126700,1],
 
9976
[1040148300,1],
 
9977
[1040169900,1],
 
9978
[1040191500,1],
 
9979
[1040213100,1],
 
9980
[1040234700,1],
 
9981
[1040256300,1],
 
9982
[1040277900,1],
 
9983
[1040299500,1],
 
9984
[1040321100,1],
 
9985
[1040342700,1],
 
9986
[1040364300,1],
 
9987
[1040385900,1],
 
9988
[1040407500,1],
 
9989
[1040429100,1],
 
9990
[1040450700,1],
 
9991
[1040472300,1],
 
9992
[1040493900,1],
 
9993
[1040515500,1],
 
9994
[1040537100,1],
 
9995
[1040558700,1],
 
9996
[1040580300,1],
 
9997
[1040601900,1],
 
9998
[1040623500,1],
 
9999
[1040645100,1],
 
10000
[1040666700,1],
 
10001
[1040688300,1],
 
10002
[1040709900,1],
 
10003
[1040731500,1],
 
10004
[1040753100,1],
 
10005
[1040774700,1],
 
10006
[1040796300,1],
 
10007
[1040817900,1],
 
10008
[1040839500,1],
 
10009
[1040861100,1],
 
10010
[1040882700,1],
 
10011
[1040904300,1],
 
10012
[1040925900,1],
 
10013
[1040947500,1],
 
10014
[1040969100,1],
 
10015
[1040990700,1],
 
10016
[1041012300,1],
 
10017
[1041033900,1],
 
10018
[1041055500,1],
 
10019
[1041077100,1],
 
10020
[1041098700,1],
 
10021
[1041120300,1],
 
10022
[1041141900,1],
 
10023
[1041163500,1],
 
10024
[1041185100,1],
 
10025
[1041228300,1],
 
10026
[1041249900,1],
 
10027
[1041271500,1],
 
10028
[1041293100,1],
 
10029
[1041314700,1],
 
10030
[1041336300,1],
 
10031
[1041357900,1],
 
10032
[1041379500,1],
 
10033
[1041401100,1],
 
10034
[1041422700,1],
 
10035
[1041444300,1],
 
10036
[1041465900,1],
 
10037
[1041487500,1],
 
10038
[1041509100,1],
 
10039
[1041530700,1],
 
10040
[1041552300,1],
 
10041
[1041573900,1],
 
10042
[1041595500,1],
 
10043
[1041617100,1],
 
10044
[1041638700,1],
 
10045
[1041660300,1],
 
10046
[1041681900,1],
 
10047
[1041703500,1],
 
10048
[1041725100,1],
 
10049
[1041746700,1],
 
10050
[1041768300,1],
 
10051
[1041789900,1],
 
10052
[1041811500,1],
 
10053
[1041833100,1],
 
10054
[1041854700,1],
 
10055
[1041876300,1],
 
10056
[1041897900,1],
 
10057
[1041919500,1],
 
10058
[1041941100,1],
 
10059
[1041962700,1],
 
10060
[1041984300,1],
 
10061
[1042005900,1],
 
10062
[1042027500,1],
 
10063
[1042049100,1],
 
10064
[1042092300,1],
 
10065
[1042113900,1],
 
10066
[1042135500,1],
 
10067
[1042157100,1],
 
10068
[1042178700,1],
 
10069
[1042200300,1],
 
10070
[1042221900,1],
 
10071
[1042243500,1],
 
10072
[1042265100,1],
 
10073
[1042286700,1],
 
10074
[1042308300,1],
 
10075
[1042329900,1],
 
10076
[1042351500,1],
 
10077
[1042373100,1],
 
10078
[1042394700,1],
 
10079
[1042416300,1],
 
10080
[1042437900,1],
 
10081
[1042459500,1],
 
10082
[1042481100,1],
 
10083
[1042502700,1],
 
10084
[1042524300,1],
 
10085
[1042545900,1],
 
10086
[1042567500,1],
 
10087
[1042589100,1],
 
10088
[1042610700,1],
 
10089
[1042632300,1],
 
10090
[1042653900,1],
 
10091
[1042675500,1],
 
10092
[1042697100,1],
 
10093
[1042718700,1],
 
10094
[1042740300,1],
 
10095
[1042761900,1],
 
10096
[1042805100,1],
 
10097
[1042826700,1],
 
10098
[1042848300,1],
 
10099
[1042869900,1],
 
10100
[1042891500,1],
 
10101
[1042913100,1],
 
10102
[1042934700,1],
 
10103
[1042956300,1],
 
10104
[1042977900,1],
 
10105
[1042999500,1],
 
10106
[1043021100,1],
 
10107
[1043042700,1],
 
10108
[1043064300,1],
 
10109
[1043085900,1],
 
10110
[1043107500,1],
 
10111
[1043129100,1],
 
10112
[1043150700,1],
 
10113
[1043172300,1],
 
10114
[1043193900,1],
 
10115
[1043215500,1],
 
10116
[1043237100,1],
 
10117
[1043258700,1],
 
10118
[1043280300,1],
 
10119
[1043301900,1],
 
10120
[1043323500,1],
 
10121
[1043345100,1],
 
10122
[1043366700,1],
 
10123
[1043388300,1],
 
10124
[1043409900,1],
 
10125
[1043431500,1],
 
10126
[1043453100,1],
 
10127
[1043475900,1],
 
10128
[1043496300,1],
 
10129
[1043517900,1],
 
10130
[1043539500,1],
 
10131
[1043561100,1],
 
10132
[1043582700,1],
 
10133
[1043604300,1],
 
10134
[1043625900,1],
 
10135
[1043647500,1],
 
10136
[1043669100,1],
 
10137
[1043690700,1],
 
10138
[1043712300,1],
 
10139
[1043733900,1],
 
10140
[1043748300,1],
 
10141
[1043798700,1],
 
10142
[1043820300,1],
 
10143
[1043841900,1],
 
10144
[1043863500,1],
 
10145
[1043885100,1],
 
10146
[1043906700,1],
 
10147
[1043928300,1],
 
10148
[1043949900,1],
 
10149
[1043971500,1],
 
10150
[1043993100,1],
 
10151
[1044014700,1],
 
10152
[1044036300,1],
 
10153
[1044057900,1],
 
10154
[1044338700,1],
 
10155
[1044360300,1],
 
10156
[1044381900,1],
 
10157
[1044403500,1],
 
10158
[1044425100,1],
 
10159
[1044446700,1],
 
10160
[1044468300,1],
 
10161
[1044489900,1],
 
10162
[1044511500,1],
 
10163
[1044533100,1],
 
10164
[1044554700,1],
 
10165
[1044576300,1],
 
10166
[1044597900,1],
 
10167
[1044619500,1],
 
10168
[1044641100,1],
 
10169
[1044662700,1],
 
10170
[1044684300,1],
 
10171
[1044705900,1],
 
10172
[1044727500,1],
 
10173
[1044749100,1],
 
10174
[1044770700,1],
 
10175
[1044792300,1],
 
10176
[1044813900,1],
 
10177
[1044835500,1],
 
10178
[1044857100,1],
 
10179
[1044878700,1],
 
10180
[1044900300,1],
 
10181
[1044921900,1],
 
10182
[1044943500,1],
 
10183
[1044965100,1],
 
10184
[1044986700,1],
 
10185
[1045008300,1],
 
10186
[1045029900,1],
 
10187
[1045051500,1],
 
10188
[1045073100,1],
 
10189
[1045094700,1],
 
10190
[1045116300,1],
 
10191
[1045137900,1],
 
10192
[1045161000,1],
 
10193
[1045181100,1],
 
10194
[1045202700,1],
 
10195
[1045224300,1],
 
10196
[1045245900,1],
 
10197
[1045267500,1],
 
10198
[1045289100,1],
 
10199
[1045310700,1],
 
10200
[1045332300,1],
 
10201
[1045353900,1],
 
10202
[1045375500,1],
 
10203
[1045397100,1],
 
10204
[1045418700,1],
 
10205
[1045440300,1],
 
10206
[1045461900,1],
 
10207
[1045483500,1],
 
10208
[1045505100,1],
 
10209
[1045526700,1],
 
10210
[1045548300,1],
 
10211
[1045591500,1],
 
10212
[1045613100,1],
 
10213
[1045634700,1],
 
10214
[1045656300,1],
 
10215
[1045677900,1],
 
10216
[1045705500,1],
 
10217
[1045721100,1],
 
10218
[1045742700,1],
 
10219
[1045764300,1],
 
10220
[1045785900,1],
 
10221
[1045807500,1],
 
10222
[1045829100,1],
 
10223
[1045850700,1],
 
10224
[1045872300,1],
 
10225
[1045893900,1],
 
10226
[1045915500,1],
 
10227
[1045937100,1],
 
10228
[1045958700,1],
 
10229
[1045980300,1],
 
10230
[1046001900,1],
 
10231
[1046023500,1],
 
10232
[1046045100,1],
 
10233
[1046066700,1],
 
10234
[1046088300,1],
 
10235
[1046109900,1],
 
10236
[1046131500,1],
 
10237
[1046153100,1],
 
10238
[1046174700,1],
 
10239
[1046196300,1],
 
10240
[1046217900,1],
 
10241
[1046239500,1],
 
10242
[1046261100,1],
 
10243
[1046282700,1],
 
10244
[1046304300,1],
 
10245
[1046325900,1],
 
10246
[1046347500,1],
 
10247
[1046369100,1],
 
10248
[1046390700,1],
 
10249
[1046412300,1],
 
10250
[1046433900,1],
 
10251
[1046455500,1],
 
10252
[1046477100,1],
 
10253
[1046498700,1],
 
10254
[1046520300,1],
 
10255
[1046541900,1],
 
10256
[1046563500,1],
 
10257
[1046585100,1],
 
10258
[1046606700,1],
 
10259
[1046628300,1],
 
10260
[1046649900,1],
 
10261
[1046671500,1],
 
10262
[1046693100,1],
 
10263
[1046714700,1],
 
10264
[1046739900,1],
 
10265
[1046757900,1],
 
10266
[1046779500,1],
 
10267
[1046801100,1],
 
10268
[1046822700,1],
 
10269
[1046844300,1],
 
10270
[1046865900,1],
 
10271
[1046887500,1],
 
10272
[1046909100,1],
 
10273
[1046930700,1],
 
10274
[1046952300,1],
 
10275
[1046973900,1],
 
10276
[1046995500,1],
 
10277
[1047017100,1],
 
10278
[1047038700,1],
 
10279
[1047060300,1],
 
10280
[1047081900,1],
 
10281
[1047103500,1],
 
10282
[1047125100,1],
 
10283
[1047146700,1],
 
10284
[1047168300,1],
 
10285
[1047189900,1],
 
10286
[1047211500,1],
 
10287
[1047233100,1],
 
10288
[1047254700,1],
 
10289
[1047276300,1],
 
10290
[1047297900,1],
 
10291
[1047319500,1],
 
10292
[1047341100,1],
 
10293
[1047362700,1],
 
10294
[1047384300,1],
 
10295
[1047405900,1],
 
10296
[1047427500,1],
 
10297
[1047449100,1],
 
10298
[1047470700,1],
 
10299
[1047492300,1],
 
10300
[1047513900,1],
 
10301
[1047535500,1],
 
10302
[1047557100,1],
 
10303
[1047578700,1],
 
10304
[1047600300,1],
 
10305
[1047621900,1],
 
10306
[1047643500,1],
 
10307
[1047665100,1],
 
10308
[1047686700,1],
 
10309
[1047708300,1],
 
10310
[1047729900,1],
 
10311
[1047751500,1],
 
10312
[1047773100,1],
 
10313
[1047794700,1],
 
10314
[1047816300,1],
 
10315
[1047837900,1],
 
10316
[1047859500,1],
 
10317
[1047881100,1],
 
10318
[1047902700,1],
 
10319
[1047924300,1],
 
10320
[1047945900,1],
 
10321
[1047967500,1],
 
10322
[1047989100,1],
 
10323
[1048010700,1],
 
10324
[1048032300,1],
 
10325
[1048053900,1],
 
10326
[1048075500,1],
 
10327
[1048097100,1],
 
10328
[1048118700,1],
 
10329
[1048140300,1],
 
10330
[1048161900,1],
 
10331
[1048183500,1],
 
10332
[1048205100,1],
 
10333
[1048226700,1],
 
10334
[1048248300,1],
 
10335
[1048269900,1],
 
10336
[1048291500,1],
 
10337
[1048334700,1],
 
10338
[1048356300,1],
 
10339
[1048377900,1],
 
10340
[1048399500,1],
 
10341
[1048421100,1],
 
10342
[1048442700,1],
 
10343
[1048464300,1],
 
10344
[1048485900,1],
 
10345
[1048507500,1],
 
10346
[1048529100,1],
 
10347
[1048550700,1],
 
10348
[1048572300,1],
 
10349
[1048593900,1],
 
10350
[1048615500,1],
 
10351
[1048637100,1],
 
10352
[1048658700,1],
 
10353
[1048680300,1],
 
10354
[1048701900,1],
 
10355
[1048723500,1],
 
10356
[1048745100,1],
 
10357
[1048766700,1],
 
10358
[1048809900,1],
 
10359
[1048831500,1],
 
10360
[1048853100,1],
 
10361
[1048874700,1],
 
10362
[1048896300,1],
 
10363
[1048939500,1],
 
10364
[1048961100,1],
 
10365
[1048982700,1],
 
10366
[1049004300,1],
 
10367
[1049025900,1],
 
10368
[1049047500,1],
 
10369
[1049069100,1],
 
10370
[1049112300,1],
 
10371
[1049133900,1],
 
10372
[1049155500,1],
 
10373
[1049177100,1],
 
10374
[1049198700,1],
 
10375
[1049220300,1],
 
10376
[1049241900,1],
 
10377
[1049263500,1],
 
10378
[1049285100,1],
 
10379
[1049328300,1],
 
10380
[1049349900,1],
 
10381
[1049371500,1],
 
10382
[1049393100,1],
 
10383
[1049414700,1],
 
10384
[1049436300,1],
 
10385
[1049457900,1],
 
10386
[1049479500,1],
 
10387
[1049501100,1],
 
10388
[1049522700,1],
 
10389
[1049544300,1],
 
10390
[1049565900,1],
 
10391
[1049587500,1],
 
10392
[1049609100,1],
 
10393
[1049627100,1],
 
10394
[1049648700,1],
 
10395
[1049670300,1],
 
10396
[1049691900,1],
 
10397
[1049713500,1],
 
10398
[1049735100,1],
 
10399
[1049756700,1],
 
10400
[1049778300,1],
 
10401
[1049799900,1],
 
10402
[1049821500,1],
 
10403
[1049843100,1],
 
10404
[1049864700,1],
 
10405
[1049886300,1],
 
10406
[1049929500,1],
 
10407
[1049951100,1],
 
10408
[1049972700,1],
 
10409
[1049994300,1],
 
10410
[1050015900,1],
 
10411
[1050037500,1],
 
10412
[1050059100,1],
 
10413
[1050080700,1],
 
10414
[1050102300,1],
 
10415
[1050123900,1],
 
10416
[1050145500,1],
 
10417
[1050167100,1],
 
10418
[1050188700,1],
 
10419
[1050210300,1],
 
10420
[1050231900,1],
 
10421
[1050253500,1],
 
10422
[1050275100,1],
 
10423
[1050296700,1],
 
10424
[1050318300,1],
 
10425
[1050339900,1],
 
10426
[1050361500,1],
 
10427
[1050383100,1],
 
10428
[1050404700,1],
 
10429
[1050433500,1],
 
10430
[1050447900,1],
 
10431
[1050469500,1],
 
10432
[1050491100,1],
 
10433
[1050512700,1],
 
10434
[1050534300,1],
 
10435
[1050555900,1],
 
10436
[1050577500,1],
 
10437
[1050599100,1],
 
10438
[1050620700,1],
 
10439
[1050642300,1],
 
10440
[1050663900,1],
 
10441
[1050685500,1],
 
10442
[1050707100,1],
 
10443
[1051063800,1],
 
10444
[1051074300,1],
 
10445
[1051095900,1],
 
10446
[1051117500,1],
 
10447
[1051139100,1],
 
10448
[1051160700,1],
 
10449
[1051182300,1],
 
10450
[1051203900,1],
 
10451
[1051225500,1],
 
10452
[1051247100,1],
 
10453
[1051268700,1],
 
10454
[1051290300,1],
 
10455
[1051311900,1],
 
10456
[1051333500,1],
 
10457
[1051355100,1],
 
10458
[1051376700,1],
 
10459
[1051398300,1],
 
10460
[1051419900,1],
 
10461
[1051441500,1],
 
10462
[1051463100,1],
 
10463
[1051484700,1],
 
10464
[1051506300,1],
 
10465
[1051527900,1],
 
10466
[1051549500,1],
 
10467
[1051571100,1],
 
10468
[1051592700,1],
 
10469
[1051614300,1],
 
10470
[1051635900,1],
 
10471
[1051657500,1],
 
10472
[1051679100,1],
 
10473
[1051700700,1],
 
10474
[1051722300,1],
 
10475
[1051743900,1],
 
10476
[1051765500,1],
 
10477
[1051787100,1],
 
10478
[1051808700,1],
 
10479
[1051830300,1],
 
10480
[1051851900,1],
 
10481
[1051873500,1],
 
10482
[1051895100,1],
 
10483
[1051916700,1],
 
10484
[1051938300,1],
 
10485
[1051959900,1],
 
10486
[1051981500,1],
 
10487
[1052003100,1],
 
10488
[1052024700,1],
 
10489
[1052046300,1],
 
10490
[1052067900,1],
 
10491
[1052089500,1],
 
10492
[1052111100,1],
 
10493
[1052132700,1],
 
10494
[1052154300,1],
 
10495
[1052175900,1],
 
10496
[1052206200,1],
 
10497
[1052225400,1],
 
10498
[1052244300,1],
 
10499
[1052262300,1],
 
10500
[1052283900,1],
 
10501
[1052294700,1],
 
10502
[1052348700,1],
 
10503
[1052370300,1],
 
10504
[1052391900,1],
 
10505
[1052413500,1],
 
10506
[1052435100,1],
 
10507
[1052456700,1],
 
10508
[1052478300,1],
 
10509
[1052499900,1],
 
10510
[1052521500,1],
 
10511
[1052543100,1],
 
10512
[1052564700,1],
 
10513
[1052586300,1],
 
10514
[1052607900,1],
 
10515
[1052629500,1],
 
10516
[1052651100,1],
 
10517
[1052672700,1],
 
10518
[1052694300,1],
 
10519
[1052715900,1],
 
10520
[1052737500,1],
 
10521
[1052759100,1],
 
10522
[1052780700,1],
 
10523
[1052802300,1],
 
10524
[1052823900,1],
 
10525
[1052845500,1],
 
10526
[1052867100,1],
 
10527
[1052888700,1],
 
10528
[1052910300,1],
 
10529
[1052931900,1],
 
10530
[1052949900,1],
 
10531
[1052975100,1],
 
10532
[1052996700,1],
 
10533
[1053018300,1],
 
10534
[1053039900,1],
 
10535
[1053061500,1],
 
10536
[1053083100,1],
 
10537
[1053104700,1],
 
10538
[1053126300,1],
 
10539
[1053147900,1],
 
10540
[1053169500,1],
 
10541
[1053191100,1],
 
10542
[1053212700,1],
 
10543
[1053234300,1],
 
10544
[1053255900,1],
 
10545
[1053277500,1],
 
10546
[1053299100,1],
 
10547
[1053320700,1],
 
10548
[1053342300,1],
 
10549
[1053363900,1],
 
10550
[1053385500,1],
 
10551
[1053407100,1],
 
10552
[1053428700,1],
 
10553
[1053450300,1],
 
10554
[1053471900,1],
 
10555
[1053493500,1],
 
10556
[1053515100,1],
 
10557
[1053536700,1],
 
10558
[1053558300,1],
 
10559
[1053579900,1],
 
10560
[1053601500,1],
 
10561
[1053623100,1],
 
10562
[1053644700,1],
 
10563
[1053666300,1],
 
10564
[1053687900,1],
 
10565
[1053709500,1],
 
10566
[1053731100,1],
 
10567
[1053752700,1],
 
10568
[1053774300,1],
 
10569
[1053795900,1],
 
10570
[1053817500,1],
 
10571
[1053839100,1],
 
10572
[1053860700,1],
 
10573
[1053882300,1],
 
10574
[1053903900,1],
 
10575
[1053925500,1],
 
10576
[1053947100,1],
 
10577
[1053968700,1],
 
10578
[1053990300,1],
 
10579
[1054011900,1],
 
10580
[1054033500,1],
 
10581
[1054055100,1],
 
10582
[1054076700,1],
 
10583
[1054098300,1],
 
10584
[1054119900,1],
 
10585
[1054141500,1],
 
10586
[1054163100,1],
 
10587
[1054184700,1],
 
10588
[1054206300,1],
 
10589
[1054227900,1],
 
10590
[1054249500,1],
 
10591
[1054271100,1],
 
10592
[1054292700,1],
 
10593
[1054314300,1],
 
10594
[1054335900,1],
 
10595
[1054357500,1],
 
10596
[1054379100,1],
 
10597
[1054400700,1],
 
10598
[1054422300,1],
 
10599
[1054443900,1],
 
10600
[1054465500,1],
 
10601
[1054487100,1],
 
10602
[1054508700,1],
 
10603
[1054530300,1],
 
10604
[1054551900,1],
 
10605
[1054573500,1],
 
10606
[1054595100,1],
 
10607
[1054616700,1],
 
10608
[1054638300,1],
 
10609
[1054659900,1],
 
10610
[1054681500,1],
 
10611
[1054703100,1],
 
10612
[1054724700,1],
 
10613
[1054744500,1],
 
10614
[1054789500,1],
 
10615
[1054811100,1],
 
10616
[1054832700,1],
 
10617
[1054875900,1],
 
10618
[1054897500,1],
 
10619
[1054919100,1],
 
10620
[1054940700,1],
 
10621
[1054962300,1],
 
10622
[1054983900,1],
 
10623
[1055005500,1],
 
10624
[1055027100,1],
 
10625
[1055048700,1],
 
10626
[1055070300,1],
 
10627
[1055091900,1],
 
10628
[1055113500,1],
 
10629
[1055135100,1],
 
10630
[1055156700,1],
 
10631
[1055178300,1],
 
10632
[1055199900,1],
 
10633
[1055221500,1],
 
10634
[1055243100,1],
 
10635
[1055264700,1],
 
10636
[1055286300,1],
 
10637
[1055307900,1],
 
10638
[1055329500,1],
 
10639
[1055351100,1],
 
10640
[1055372700,1],
 
10641
[1055394300,1],
 
10642
[1055415900,1],
 
10643
[1055437500,1],
 
10644
[1055459100,1],
 
10645
[1055480700,1],
 
10646
[1055502300,1],
 
10647
[1055523900,1],
 
10648
[1055545500,1],
 
10649
[1055567100,1],
 
10650
[1055588700,1],
 
10651
[1055610300,1],
 
10652
[1055631900,1],
 
10653
[1055653500,1],
 
10654
[1055675100,1],
 
10655
[1055696700,1],
 
10656
[1055718300,1],
 
10657
[1055739900,1],
 
10658
[1055761500,1],
 
10659
[1055783100,1],
 
10660
[1055804700,1],
 
10661
[1055826300,1],
 
10662
[1055847900,1],
 
10663
[1055869500,1],
 
10664
[1055891100,1],
 
10665
[1055912700,1],
 
10666
[1055934300,1],
 
10667
[1055955900,1],
 
10668
[1056002700,1],
 
10669
[1056020700,1],
 
10670
[1056042300,1],
 
10671
[1056063900,1],
 
10672
[1056085500,1],
 
10673
[1056107100,1],
 
10674
[1056128700,1],
 
10675
[1056171900,1],
 
10676
[1056193500,1],
 
10677
[1056215100,1],
 
10678
[1056236700,1],
 
10679
[1056258300,1],
 
10680
[1056279900,1],
 
10681
[1056366300,1],
 
10682
[1056387900,1],
 
10683
[1056407400,1],
 
10684
[1056431100,1],
 
10685
[1056452700,1],
 
10686
[1056474300,1],
 
10687
[1056506100,1],
 
10688
[1057269300,1],
 
10689
[1057356300,1],
 
10690
[1057446300,1],
 
10691
[1057514700,1],
 
10692
[1057590300,1],
 
10693
[1057640700,1],
 
10694
[1057692300,1],
 
10695
[1057728600,1],
 
10696
[1057770300,1],
 
10697
[1057863900,1],
 
10698
[1057899900,1],
 
10699
[1057943100,1],
 
10700
[1057986300,1],
 
10701
[1058007900,1],
 
10702
[1058029500,1],
 
10703
[1058051100,1],
 
10704
[1058072700,1],
 
10705
[1058094300,1],
 
10706
[1058115900,1],
 
10707
[1058245500,1],
 
10708
[1058267100,1],
 
10709
[1058288700,1],
 
10710
[1058310300,1],
 
10711
[1058331900,1],
 
10712
[1058353500,1],
 
10713
[1058375100,1],
 
10714
[1058396700,1],
 
10715
[1058418300,1],
 
10716
[1058439900,1],
 
10717
[1058461500,1],
 
10718
[1058483100,1],
 
10719
[1058504700,1],
 
10720
[1058526300,1],
 
10721
[1058547900,1],
 
10722
[1058569500,1],
 
10723
[1058591100,1],
 
10724
[1058612700,1],
 
10725
[1058634300,1],
 
10726
[1058655900,1],
 
10727
[1058677500,1],
 
10728
[1058699100,1],
 
10729
[1058720700,1],
 
10730
[1058742300,1],
 
10731
[1058763900,1],
 
10732
[1058785500,1],
 
10733
[1058807100,1],
 
10734
[1058828700,1],
 
10735
[1058850300,1],
 
10736
[1058871900,1],
 
10737
[1058893500,1],
 
10738
[1058915100,1],
 
10739
[1058936700,1],
 
10740
[1058958300,1],
 
10741
[1058979900,1],
 
10742
[1059001500,1],
 
10743
[1059023100,1],
 
10744
[1059044700,1],
 
10745
[1059066300,1],
 
10746
[1059087900,1],
 
10747
[1059109500,1],
 
10748
[1059131100,1],
 
10749
[1059152700,1],
 
10750
[1059174300,1],
 
10751
[1059195900,1],
 
10752
[1059217500,1],
 
10753
[1059239100,1],
 
10754
[1059260700,1],
 
10755
[1059282300,1],
 
10756
[1059303900,1],
 
10757
[1059325500,1],
 
10758
[1059347100,1],
 
10759
[1059368700,1],
 
10760
[1059390300,1],
 
10761
[1059411900,1],
 
10762
[1059440400,1],
 
10763
[1059455100,1],
 
10764
[1059476700,1],
 
10765
[1059498300,1],
 
10766
[1059519900,1],
 
10767
[1059541500,1],
 
10768
[1059563100,1],
 
10769
[1059584700,1],
 
10770
[1059606300,1],
 
10771
[1059627900,1],
 
10772
[1059649500,1],
 
10773
[1059671100,1],
 
10774
[1059693300,1],
 
10775
[1059714300,1],
 
10776
[1059735900,1],
 
10777
[1059757500,1],
 
10778
[1059779100,1],
 
10779
[1059800700,1],
 
10780
[1059822300,1],
 
10781
[1059843900,1],
 
10782
[1059865500,1],
 
10783
[1059887100,1],
 
10784
[1059908700,1],
 
10785
[1059930300,1],
 
10786
[1059951900,1],
 
10787
[1059973500,1],
 
10788
[1059995100,1],
 
10789
[1060016700,1],
 
10790
[1060038300,1],
 
10791
[1060059900,1],
 
10792
[1060081500,1],
 
10793
[1060103100,1],
 
10794
[1060124700,1],
 
10795
[1060146300,1],
 
10796
[1060167900,1],
 
10797
[1060189500,1],
 
10798
[1060211100,1],
 
10799
[1060232700,1],
 
10800
[1060254300,1],
 
10801
[1060275900,1],
 
10802
[1060299300,1],
 
10803
[1060319100,1],
 
10804
[1060340700,1],
 
10805
[1060362300,1],
 
10806
[1060405500,1],
 
10807
[1060427100,1],
 
10808
[1060448700,1],
 
10809
[1060470300,1],
 
10810
[1060491900,1],
 
10811
[1060513500,1],
 
10812
[1060535100,1],
 
10813
[1060556700,1],
 
10814
[1060599900,1],
 
10815
[1060621500,1],
 
10816
[1060643100,1],
 
10817
[1060664700,1],
 
10818
[1060686300,1],
 
10819
[1060707900,1],
 
10820
[1060730100,1],
 
10821
[1060751100,1],
 
10822
[1060772700,1],
 
10823
[1060794300,1],
 
10824
[1060859100,1],
 
10825
[1060880700,1],
 
10826
[1060902300,1],
 
10827
[1060923900,1],
 
10828
[1060945500,1],
 
10829
[1060967100,1],
 
10830
[1060988700,1],
 
10831
[1061010300,1],
 
10832
[1061031900,1],
 
10833
[1061053500,1],
 
10834
[1061161500,1],
 
10835
[1061183100,1],
 
10836
[1061204700,1],
 
10837
[1061226300,1],
 
10838
[1061269500,1],
 
10839
[1061291100,1],
 
10840
[1061312700,1],
 
10841
[1061334300,1],
 
10842
[1061355900,1],
 
10843
[1061377500,1],
 
10844
[1061399100,1],
 
10845
[1061420700,1],
 
10846
[1061442300,1],
 
10847
[1061463900,1],
 
10848
[1061485500,1],
 
10849
[1061507100,1],
 
10850
[1061528700,1],
 
10851
[1061550300,1],
 
10852
[1061593500,1],
 
10853
[1061615100,1],
 
10854
[1061636700,1],
 
10855
[1061658300,1],
 
10856
[1061679900,1],
 
10857
[1061701500,1],
 
10858
[1061744700,1],
 
10859
[1061766300,1],
 
10860
[1061787900,1],
 
10861
[1061809500,1],
 
10862
[1061831100,1],
 
10863
[1061855100,1],
 
10864
[1061874300,1],
 
10865
[1061895900,1],
 
10866
[1061917500,1],
 
10867
[1061939100,1],
 
10868
[1061960700,1],
 
10869
[1061982300,1],
 
10870
[1062003900,1],
 
10871
[1062026400,1],
 
10872
[1062047100,1],
 
10873
[1062068700,1],
 
10874
[1062090300,1],
 
10875
[1062111900,1],
 
10876
[1062133500,1],
 
10877
[1062155100,1],
 
10878
[1062176700,1],
 
10879
[1062199200,1],
 
10880
[1062219900,1],
 
10881
[1062241500,1],
 
10882
[1062263100,1],
 
10883
[1062284700,1],
 
10884
[1062306300,1],
 
10885
[1062327900,1],
 
10886
[1062349500,1],
 
10887
[1062371100,1],
 
10888
[1062392700,1],
 
10889
[1062414300,1],
 
10890
[1062435900,1],
 
10891
[1062457500,1],
 
10892
[1062479100,1],
 
10893
[1062500700,1],
 
10894
[1062522300,1],
 
10895
[1062543900,1],
 
10896
[1062565500,1],
 
10897
[1062587100,1],
 
10898
[1062608700,1],
 
10899
[1062630300,1],
 
10900
[1062651900,1],
 
10901
[1062695100,1],
 
10902
[1062720300,1],
 
10903
[1062738300,1],
 
10904
[1062759900,1],
 
10905
[1062781500,1],
 
10906
[1062806700,1],
 
10907
[1062824700,1],
 
10908
[1062846300,1],
 
10909
[1062867900,1],
 
10910
[1062893100,1],
 
10911
[1062911100,1],
 
10912
[1062932700,1],
 
10913
[1062954300,1],
 
10914
[1062979500,1],
 
10915
[1062997500,1],
 
10916
[1063019100,1],
 
10917
[1063040700,1],
 
10918
[1063065900,1],
 
10919
[1063083900,1],
 
10920
[1063105500,1],
 
10921
[1063127100,1],
 
10922
[1063152300,1],
 
10923
[1063170300,1],
 
10924
[1063191900,1],
 
10925
[1063213500,1],
 
10926
[1063238700,1],
 
10927
[1063256700,1],
 
10928
[1063278300,1],
 
10929
[1063299900,1],
 
10930
[1063325100,1],
 
10931
[1063343100,1],
 
10932
[1063364700,1],
 
10933
[1063386300,1],
 
10934
[1063411500,1],
 
10935
[1063429500,1],
 
10936
[1063451100,1],
 
10937
[1063472700,1],
 
10938
[1063499100,1],
 
10939
[1063537500,1],
 
10940
[1063559100,1],
 
10941
[1063584300,1],
 
10942
[1063602300,1],
 
10943
[1063623900,1],
 
10944
[1063645500,1],
 
10945
[1063670700,1],
 
10946
[1063688700,1],
 
10947
[1063710300,1],
 
10948
[1063731900,1],
 
10949
[1063757100,1],
 
10950
[1063775100,1],
 
10951
[1063796700,1],
 
10952
[1063861500,1],
 
10953
[1063883100,1],
 
10954
[1063904700,1],
 
10955
[1063926300,1],
 
10956
[1064120700,1],
 
10957
[1064142300,1],
 
10958
[1064185500,1],
 
10959
[1064207100,1],
 
10960
[1064228700,1],
 
10961
[1064250300,1],
 
10962
[1064271900,1],
 
10963
[1064293500,1],
 
10964
[1064315100,1],
 
10965
[1064337600,1],
 
10966
[1064358300,1],
 
10967
[1064379900,1],
 
10968
[1064401500,1],
 
10969
[1064423100,1],
 
10970
[1064444700,1],
 
10971
[1064466300,1],
 
10972
[1064487900,1],
 
10973
[1064509500,1],
 
10974
[1064531100,1],
 
10975
[1065265500,1],
 
10976
[1065287100,1],
 
10977
[1065308700,1],
 
10978
[1065330300,1],
 
10979
[1065351900,1],
 
10980
[1065373500,1],
 
10981
[1065395100,1],
 
10982
[1065416700,1],
 
10983
[1065438300,1],
 
10984
[1065454200,1],
 
10985
[1065454800,1],
 
10986
[1065455700,1],
 
10987
[1065457800,1],
 
10988
[1065458400,1],
 
10989
[1065459000,1],
 
10990
[1065459900,1],
 
10991
[1065461400,1],
 
10992
[1065462000,1],
 
10993
[1065462600,1],
 
10994
[1065463200,1],
 
10995
[1065463800,1],
 
10996
[1065464400,1],
 
10997
[1065465000,1],
 
10998
[1065465600,1],
 
10999
[1065466200,1],
 
11000
[1065466800,1],
 
11001
[1065467400,1],
 
11002
[1065468000,1],
 
11003
[1065468600,1],
 
11004
[1065469200,1],
 
11005
[1065469800,1],
 
11006
[1065470400,1],
 
11007
[1065471000,1],
 
11008
[1065471600,1],
 
11009
[1065472200,1],
 
11010
[1065472800,1],
 
11011
[1065473400,1],
 
11012
[1065474000,1],
 
11013
[1065474600,1],
 
11014
[1065475200,1],
 
11015
[1065475800,1],
 
11016
[1065476400,1],
 
11017
[1065477000,1],
 
11018
[1065477600,1],
 
11019
[1065478200,1],
 
11020
[1065478800,1],
 
11021
[1065479400,1],
 
11022
[1065480000,1],
 
11023
[1065480600,1],
 
11024
[1065481500,1],
 
11025
[1065483000,1],
 
11026
[1065483600,1],
 
11027
[1065484200,1],
 
11028
[1065484800,1],
 
11029
[1065485400,1],
 
11030
[1065486000,1],
 
11031
[1065486600,1],
 
11032
[1065487200,1],
 
11033
[1065487800,1],
 
11034
[1065488400,1],
 
11035
[1065489000,1],
 
11036
[1065489600,1],
 
11037
[1065490200,1],
 
11038
[1065490800,1],
 
11039
[1065491400,1],
 
11040
[1065492000,1],
 
11041
[1065492600,1],
 
11042
[1065493200,1],
 
11043
[1065493800,1],
 
11044
[1065494400,1],
 
11045
[1065495000,1],
 
11046
[1065495600,1],
 
11047
[1065496200,1],
 
11048
[1065496800,1],
 
11049
[1065497400,1],
 
11050
[1065498000,1],
 
11051
[1065498600,1],
 
11052
[1065499200,1],
 
11053
[1065499800,1],
 
11054
[1065500400,1],
 
11055
[1065501000,1],
 
11056
[1065501600,1],
 
11057
[1065502200,1],
 
11058
[1065503100,1],
 
11059
[1065504600,1],
 
11060
[1065505200,1],
 
11061
[1065505800,1],
 
11062
[1065506400,1],
 
11063
[1065507000,1],
 
11064
[1065507600,1],
 
11065
[1065508200,1],
 
11066
[1065508800,1],
 
11067
[1065509400,1],
 
11068
[1065510000,1],
 
11069
[1065510600,1],
 
11070
[1065511200,1],
 
11071
[1065511800,1],
 
11072
[1065512400,1],
 
11073
[1065513000,1],
 
11074
[1065513600,1],
 
11075
[1065514200,1],
 
11076
[1065514800,1],
 
11077
[1065515400,1],
 
11078
[1065516000,1],
 
11079
[1065516600,1],
 
11080
[1065517200,1],
 
11081
[1065517800,1],
 
11082
[1065518400,1],
 
11083
[1065519000,1],
 
11084
[1065519600,1],
 
11085
[1065520200,1],
 
11086
[1065520800,1],
 
11087
[1065521400,1],
 
11088
[1065522000,1],
 
11089
[1065522600,1],
 
11090
[1065523200,1],
 
11091
[1065523800,1],
 
11092
[1065524700,1],
 
11093
[1065526200,1],
 
11094
[1065526800,1],
 
11095
[1065527400,1],
 
11096
[1065528000,1],
 
11097
[1065528600,1],
 
11098
[1065529200,1],
 
11099
[1065529800,1],
 
11100
[1065530400,1],
 
11101
[1065531000,1],
 
11102
[1065531600,1],
 
11103
[1065532200,1],
 
11104
[1065532800,1],
 
11105
[1065533400,1],
 
11106
[1065534000,1],
 
11107
[1065534600,1],
 
11108
[1065535200,1],
 
11109
[1065535800,1],
 
11110
[1065536400,1],
 
11111
[1065537000,1],
 
11112
[1065537600,1],
 
11113
[1065538200,1],
 
11114
[1065538800,1],
 
11115
[1065539400,1],
 
11116
[1065540000,1],
 
11117
[1065540600,1],
 
11118
[1065541200,1],
 
11119
[1065541800,1],
 
11120
[1065542400,1],
 
11121
[1065543600,1],
 
11122
[1065544200,1],
 
11123
[1065544800,1],
 
11124
[1065545400,1],
 
11125
[1065546300,1],
 
11126
[1065547800,1],
 
11127
[1065548400,1],
 
11128
[1065549000,1],
 
11129
[1065549600,1],
 
11130
[1065550200,1],
 
11131
[1065550800,1],
 
11132
[1065551400,1],
 
11133
[1065552000,1],
 
11134
[1065552600,1],
 
11135
[1065553200,1],
 
11136
[1065553800,1],
 
11137
[1065554400,1],
 
11138
[1065555000,1],
 
11139
[1065555600,1],
 
11140
[1065556200,1],
 
11141
[1065556800,1],
 
11142
[1065557400,1],
 
11143
[1065558000,1],
 
11144
[1065558600,1],
 
11145
[1065559200,1],
 
11146
[1065559800,1],
 
11147
[1065560400,1],
 
11148
[1065561000,1],
 
11149
[1065561600,1],
 
11150
[1065562200,1],
 
11151
[1065562800,1],
 
11152
[1065563400,1],
 
11153
[1065564000,1],
 
11154
[1065564600,1],
 
11155
[1065565200,1],
 
11156
[1065565800,1],
 
11157
[1065566400,1],
 
11158
[1065567000,1],
 
11159
[1065567900,1],
 
11160
[1065569100,1],
 
11161
[1065569700,1],
 
11162
[1065570300,1],
 
11163
[1065570600,1],
 
11164
[1065571200,1],
 
11165
[1065571800,1],
 
11166
[1065572400,1],
 
11167
[1065573000,1],
 
11168
[1065573600,1],
 
11169
[1065574200,1],
 
11170
[1065574800,1],
 
11171
[1065575400,1],
 
11172
[1065576000,1],
 
11173
[1065576600,1],
 
11174
[1065577200,1],
 
11175
[1065577800,1],
 
11176
[1065578400,1],
 
11177
[1065579000,1],
 
11178
[1065579600,1],
 
11179
[1065580200,1],
 
11180
[1065580800,1],
 
11181
[1065581400,1],
 
11182
[1065582000,1],
 
11183
[1065582600,1],
 
11184
[1065583200,1],
 
11185
[1065583800,1],
 
11186
[1065584400,1],
 
11187
[1065585000,1],
 
11188
[1065585600,1],
 
11189
[1065586200,1],
 
11190
[1065586800,1],
 
11191
[1065587400,1],
 
11192
[1065588000,1],
 
11193
[1065588600,1],
 
11194
[1065589500,1],
 
11195
[1065591000,1],
 
11196
[1065591600,1],
 
11197
[1065592200,1],
 
11198
[1065592800,1],
 
11199
[1065593400,1],
 
11200
[1065594000,1],
 
11201
[1065594600,1],
 
11202
[1065595200,1],
 
11203
[1065595800,1],
 
11204
[1065596400,1],
 
11205
[1065597000,1],
 
11206
[1065597600,1],
 
11207
[1065598200,1],
 
11208
[1065598800,1],
 
11209
[1065599400,1],
 
11210
[1065600000,1],
 
11211
[1065600600,1],
 
11212
[1065601200,1],
 
11213
[1065601800,1],
 
11214
[1065602400,1],
 
11215
[1065603000,1],
 
11216
[1065603600,1],
 
11217
[1065604200,1],
 
11218
[1065604800,1],
 
11219
[1065605400,1],
 
11220
[1065606000,1],
 
11221
[1065606600,1],
 
11222
[1065607200,1],
 
11223
[1065607800,1],
 
11224
[1065608400,1],
 
11225
[1065609000,1],
 
11226
[1065609600,1],
 
11227
[1065610200,1],
 
11228
[1065611100,1],
 
11229
[1065612600,1],
 
11230
[1065613200,1],
 
11231
[1065613800,1],
 
11232
[1065614400,1],
 
11233
[1065615000,1],
 
11234
[1065615600,1],
 
11235
[1065616200,1],
 
11236
[1065616800,1],
 
11237
[1065617400,1],
 
11238
[1065618000,1],
 
11239
[1065618600,1],
 
11240
[1065619200,1],
 
11241
[1065619800,1],
 
11242
[1065620400,1],
 
11243
[1065621000,1],
 
11244
[1065621600,1],
 
11245
[1065622200,1],
 
11246
[1065622800,1],
 
11247
[1065623400,1],
 
11248
[1065624000,1],
 
11249
[1065624600,1],
 
11250
[1065625200,1],
 
11251
[1065625800,1],
 
11252
[1065626400,1],
 
11253
[1065627000,1],
 
11254
[1065627600,1],
 
11255
[1065628200,1],
 
11256
[1065628800,1],
 
11257
[1065629400,1],
 
11258
[1065630000,1],
 
11259
[1065630600,1],
 
11260
[1065631200,1],
 
11261
[1065631800,1],
 
11262
[1065632700,1],
 
11263
[1065634200,1],
 
11264
[1065634800,1],
 
11265
[1065635400,1],
 
11266
[1065636000,1],
 
11267
[1065636600,1],
 
11268
[1065637200,1],
 
11269
[1065637800,1],
 
11270
[1065638400,1],
 
11271
[1065639000,1],
 
11272
[1065639600,1],
 
11273
[1065640200,1],
 
11274
[1065640800,1],
 
11275
[1065641400,1],
 
11276
[1065642000,1],
 
11277
[1065642600,1],
 
11278
[1065643200,1],
 
11279
[1065643800,1],
 
11280
[1065644400,1],
 
11281
[1065645000,1],
 
11282
[1065645600,1],
 
11283
[1065646200,1],
 
11284
[1065646800,1],
 
11285
[1065647400,1],
 
11286
[1065648000,1],
 
11287
[1065648600,1],
 
11288
[1065650400,1],
 
11289
[1065651000,1],
 
11290
[1065651600,1],
 
11291
[1065652200,1],
 
11292
[1065655800,1],
 
11293
[1065656400,1],
 
11294
[1065657000,1],
 
11295
[1065657600,1],
 
11296
[1065658200,1],
 
11297
[1065658800,1],
 
11298
[1065675900,1],
 
11299
[1065697500,1],
 
11300
[1065722700,1],
 
11301
[1065740700,1],
 
11302
[1065762300,1],
 
11303
[1065783900,1],
 
11304
[1065809100,1],
 
11305
[1065827100,1],
 
11306
[1065848700,1],
 
11307
[1065881100,1],
 
11308
[1065891900,1],
 
11309
[1065902700,1],
 
11310
[1065913500,1],
 
11311
[1065935100,1],
 
11312
[1065956700,1],
 
11313
[1065978300,1],
 
11314
[1065989100,1],
 
11315
[1065999900,1],
 
11316
[1066021500,1],
 
11317
[1066043100,1],
 
11318
[1066064700,1],
 
11319
[1066075500,1],
 
11320
[1066086300,1],
 
11321
[1066107900,1],
 
11322
[1066129500,1],
 
11323
[1066151100,1],
 
11324
[1066161900,1],
 
11325
[1066172700,1],
 
11326
[1066194300,1],
 
11327
[1066215900,1],
 
11328
[1066237500,1],
 
11329
[1066248300,1],
 
11330
[1066259100,1],
 
11331
[1066280700,1],
 
11332
[1066302300,1],
 
11333
[1066323900,1],
 
11334
[1066334700,1],
 
11335
[1066345500,1],
 
11336
[1066367100,1],
 
11337
[1066388700,1],
 
11338
[1066410300,1],
 
11339
[1066422900,1],
 
11340
[1066453500,1],
 
11341
[1066475100,1],
 
11342
[1066496700,1],
 
11343
[1066518300,1],
 
11344
[1066539900,1],
 
11345
[1066561500,1],
 
11346
[1066583100,1],
 
11347
[1066595700,1],
 
11348
[1066626300,1],
 
11349
[1066647900,1],
 
11350
[1066669500,1],
 
11351
[1066691100,1],
 
11352
[1066712700,1],
 
11353
[1066734300,1],
 
11354
[1066755900,1],
 
11355
[1066777500,1],
 
11356
[1066799100,1],
 
11357
[1066820700,1],
 
11358
[1066842300,1],
 
11359
[1066863900,1],
 
11360
[1066885500,1],
 
11361
[1066907100,1],
 
11362
[1066932300,1],
 
11363
[1066971900,1],
 
11364
[1066993500,1],
 
11365
[1067015100,1],
 
11366
[1067036700,1],
 
11367
[1067058300,1],
 
11368
[1067079900,1],
 
11369
[1067101500,1],
 
11370
[1067123100,1],
 
11371
[1067148300,1],
 
11372
[1067169900,1],
 
11373
[1067191500,1],
 
11374
[1067213100,1],
 
11375
[1067234700,1],
 
11376
[1067256300,1],
 
11377
[1067277900,1],
 
11378
[1067299500,1],
 
11379
[1067321100,1],
 
11380
[1067342700,1],
 
11381
[1067364300,1],
 
11382
[1067397000,1],
 
11383
[1067433000,1],
 
11384
[1067459100,1],
 
11385
[1067494200,1],
 
11386
[1067523300,1],
 
11387
[1067548800,1],
 
11388
[1067570400,1],
 
11389
[1067590200,1],
 
11390
[1067610000,1],
 
11391
[1067629800,1],
 
11392
[1067645100,1],
 
11393
[1067666700,1],
 
11394
[1067688300,1],
 
11395
[1067709900,1],
 
11396
[1067731500,1],
 
11397
[1067753100,1],
 
11398
[1067774700,1],
 
11399
[1067796300,1],
 
11400
[1067817900,1],
 
11401
[1067839800,1],
 
11402
[1067861100,1],
 
11403
[1067882700,1],
 
11404
[1067904300,1],
 
11405
[1067925900,1],
 
11406
[1067947500,1],
 
11407
[1067969100,1],
 
11408
[1067990700,1],
 
11409
[1068012300,1],
 
11410
[1068033900,1],
 
11411
[1068055500,1],
 
11412
[1068077100,1],
 
11413
[1068098700,1],
 
11414
[1068120300,1],
 
11415
[1068141900,1],
 
11416
[1068163500,1],
 
11417
[1068185100,1],
 
11418
[1068206700,1],
 
11419
[1068228300,1],
 
11420
[1068252600,1],
 
11421
[1068271500,1],
 
11422
[1068293100,1],
 
11423
[1068314700,1],
 
11424
[1068336300,1],
 
11425
[1068357900,1],
 
11426
[1068379500,1],
 
11427
[1068401100,1],
 
11428
[1068422700,1],
 
11429
[1068465900,1],
 
11430
[1068487500,1],
 
11431
[1068509100,1],
 
11432
[1068530700,1],
 
11433
[1068552300,1],
 
11434
[1068573900,1],
 
11435
[1068595500,1],
 
11436
[1068617100,1],
 
11437
[1068638700,1],
 
11438
[1068660300,1],
 
11439
[1068681900,1],
 
11440
[1068703500,1],
 
11441
[1068725100,1],
 
11442
[1068746700,1],
 
11443
[1068768300,1],
 
11444
[1068789900,1],
 
11445
[1068811500,1],
 
11446
[1068833100,1],
 
11447
[1068854700,1],
 
11448
[1068876300,1],
 
11449
[1068897900,1],
 
11450
[1068919500,1],
 
11451
[1068941100,1],
 
11452
[1068962700,1],
 
11453
[1068984300,1],
 
11454
[1069005900,1],
 
11455
[1069027500,1],
 
11456
[1069049100,1],
 
11457
[1069070700,1],
 
11458
[1069092300,1],
 
11459
[1069113900,1],
 
11460
[1069135500,1],
 
11461
[1069157100,1],
 
11462
[1069178700,1],
 
11463
[1069200300,1],
 
11464
[1069221900,1],
 
11465
[1069243500,1],
 
11466
[1069265100,1],
 
11467
[1069286700,1],
 
11468
[1069308300,1],
 
11469
[1069329900,1],
 
11470
[1069351500,1],
 
11471
[1069373100,1],
 
11472
[1069394700,1],
 
11473
[1069416300,1],
 
11474
[1069437900,1],
 
11475
[1069459500,1],
 
11476
[1069481100,1],
 
11477
[1069502700,1],
 
11478
[1069524300,1],
 
11479
[1069545900,1],
 
11480
[1069567500,1],
 
11481
[1069589100,1],
 
11482
[1069610700,1],
 
11483
[1069632300,1],
 
11484
[1069653900,1],
 
11485
[1069675500,1],
 
11486
[1069697100,1],
 
11487
[1069718700,1],
 
11488
[1069740300,1],
 
11489
[1069761900,1],
 
11490
[1069783500,1],
 
11491
[1069805100,1],
 
11492
[1069826700,1],
 
11493
[1069848300,1],
 
11494
[1069869900,1],
 
11495
[1069891500,1],
 
11496
[1069913100,1],
 
11497
[1069934700,1],
 
11498
[1069956300,1],
 
11499
[1069977900,1],
 
11500
[1069999500,1],
 
11501
[1070021100,1],
 
11502
[1070042700,1],
 
11503
[1070064300,1],
 
11504
[1070085900,1],
 
11505
[1070107500,1],
 
11506
[1070129100,1],
 
11507
[1070150700,1],
 
11508
[1070172300,1],
 
11509
[1070193900,1],
 
11510
[1070215500,1],
 
11511
[1070237100,1],
 
11512
[1070280300,1],
 
11513
[1070301900,1],
 
11514
[1070323500,1],
 
11515
[1070345100,1],
 
11516
[1070366700,1],
 
11517
[1070388300,1],
 
11518
[1070409900,1],
 
11519
[1070431500,1],
 
11520
[1070453100,1],
 
11521
[1070474700,1],
 
11522
[1070496300,1],
 
11523
[1070517900,1],
 
11524
[1070539500,1],
 
11525
[1070561100,1],
 
11526
[1070582700,1],
 
11527
[1070604300,1],
 
11528
[1070625900,1],
 
11529
[1070647500,1],
 
11530
[1070667600,1],
 
11531
[1070669100,1],
 
11532
[1070670600,1],
 
11533
[1070672100,1],
 
11534
[1070673600,1],
 
11535
[1070690700,1],
 
11536
[1070712300,1],
 
11537
[1070733900,1],
 
11538
[1070755500,1],
 
11539
[1070777100,1],
 
11540
[1070798700,1],
 
11541
[1070820300,1],
 
11542
[1070841900,1],
 
11543
[1070863500,1],
 
11544
[1070885100,1],
 
11545
[1070906700,1],
 
11546
[1070928300,1],
 
11547
[1070949900,1],
 
11548
[1070971500,1],
 
11549
[1070993100,1],
 
11550
[1071036300,1],
 
11551
[1071057900,1],
 
11552
[1071079500,1],
 
11553
[1071101100,1],
 
11554
[1071122700,1],
 
11555
[1071144300,1],
 
11556
[1071165900,1],
 
11557
[1071187500,1],
 
11558
[1071209100,1],
 
11559
[1071230700,1],
 
11560
[1071252300,1],
 
11561
[1071273900,1],
 
11562
[1071295500,1],
 
11563
[1071317100,1],
 
11564
[1071338700,1],
 
11565
[1071360300,1],
 
11566
[1071381900,1],
 
11567
[1071403500,1],
 
11568
[1071430800,1],
 
11569
[1071446700,1],
 
11570
[1071468300,1],
 
11571
[1071489900,1],
 
11572
[1071511500,1],
 
11573
[1071533100,1],
 
11574
[1071554700,1],
 
11575
[1071576300,1],
 
11576
[1071597900,1],
 
11577
[1071619500,1],
 
11578
[1071641100,1],
 
11579
[1071662700,1],
 
11580
[1071684300,1],
 
11581
[1071705900,1],
 
11582
[1071727500,1],
 
11583
[1071749100,1],
 
11584
[1071770700,1],
 
11585
[1071792300,1],
 
11586
[1071813900,1],
 
11587
[1071835500,1],
 
11588
[1071857100,1],
 
11589
[1071878700,1],
 
11590
[1071900300,1],
 
11591
[1071921900,1],
 
11592
[1071943500,1],
 
11593
[1071965100,1],
 
11594
[1071986700,1],
 
11595
[1072008300,1],
 
11596
[1072029900,1],
 
11597
[1072051500,1],
 
11598
[1072073100,1],
 
11599
[1072094700,1],
 
11600
[1072116300,1],
 
11601
[1072137900,1],
 
11602
[1072159500,1],
 
11603
[1072181100,1],
 
11604
[1072202700,1],
 
11605
[1072224300,1],
 
11606
[1072245900,1],
 
11607
[1072267500,1],
 
11608
[1072289100,1],
 
11609
[1072310700,1],
 
11610
[1072332300,1],
 
11611
[1072353900,1],
 
11612
[1072375500,1],
 
11613
[1072397100,1],
 
11614
[1072418700,1],
 
11615
[1072440300,1],
 
11616
[1072461900,1],
 
11617
[1072483500,1],
 
11618
[1073457300,1],
 
11619
[1073477100,1],
 
11620
[1073498700,1],
 
11621
[1073520300,1],
 
11622
[1073541900,1],
 
11623
[1073563500,1],
 
11624
[1073585100,1],
 
11625
[1073606700,1],
 
11626
[1073628300,1],
 
11627
[1073649900,1],
 
11628
[1073671500,1],
 
11629
[1073693100,1],
 
11630
[1073714700,1],
 
11631
[1073736300,1],
 
11632
[1073757900,1],
 
11633
[1073779500,1],
 
11634
[1073801100,1],
 
11635
[1073822700,1],
 
11636
[1073844300,1],
 
11637
[1073865900,1],
 
11638
[1073887500,1],
 
11639
[1073909100,1],
 
11640
[1073930700,1],
 
11641
[1073952300,1],
 
11642
[1073973900,1],
 
11643
[1073995500,1],
 
11644
[1074017100,1],
 
11645
[1074038700,1],
 
11646
[1074060300,1],
 
11647
[1074081900,1],
 
11648
[1074103500,1],
 
11649
[1074125100,1],
 
11650
[1074146700,1],
 
11651
[1074168300,1],
 
11652
[1074189900,1],
 
11653
[1074211500,1],
 
11654
[1074233100,1],
 
11655
[1074254700,1],
 
11656
[1074276300,1],
 
11657
[1074297900,1],
 
11658
[1074319500,1],
 
11659
[1074341100,1],
 
11660
[1074362700,1],
 
11661
[1074384300,1],
 
11662
[1074405900,1],
 
11663
[1074427500,1],
 
11664
[1074449100,1],
 
11665
[1074470700,1],
 
11666
[1074492300,1],
 
11667
[1074513900,1],
 
11668
[1074535500,1],
 
11669
[1074557100,1],
 
11670
[1074600300,1],
 
11671
[1074621900,1],
 
11672
[1074643500,1],
 
11673
[1074665100,1],
 
11674
[1074686700,1],
 
11675
[1074708300,1],
 
11676
[1074729900,1],
 
11677
[1074751500,1],
 
11678
[1074773100,1],
 
11679
[1074794700,1],
 
11680
[1074837900,1],
 
11681
[1074859500,1],
 
11682
[1074881100,1],
 
11683
[1074902700,1],
 
11684
[1074924300,1],
 
11685
[1074945900,1],
 
11686
[1074967500,1],
 
11687
[1074989100,1],
 
11688
[1075010700,1],
 
11689
[1075032300,1],
 
11690
[1075053900,1],
 
11691
[1075075500,1],
 
11692
[1075097100,1],
 
11693
[1075118700,1],
 
11694
[1075140300,1],
 
11695
[1075161900,1],
 
11696
[1075183500,1],
 
11697
[1075205100,1],
 
11698
[1075226700,1],
 
11699
[1075248300,1],
 
11700
[1075269900,1],
 
11701
[1075291500,1],
 
11702
[1075313100,1],
 
11703
[1075334700,1],
 
11704
[1075356300,1],
 
11705
[1075377900,1],
 
11706
[1075399500,1],
 
11707
[1075421100,1],
 
11708
[1075442700,1],
 
11709
[1075464300,1],
 
11710
[1075485900,1],
 
11711
[1075507500,1],
 
11712
[1075529100,1],
 
11713
[1075550700,1],
 
11714
[1075572300,1],
 
11715
[1075593900,1],
 
11716
[1075615500,1],
 
11717
[1075637100,1],
 
11718
[1075658700,1],
 
11719
[1075680300,1],
 
11720
[1075701900,1],
 
11721
[1075723500,1],
 
11722
[1075746000,1],
 
11723
[1075766700,1],
 
11724
[1075788300,1],
 
11725
[1075809900,1],
 
11726
[1075831500,1],
 
11727
[1075853100,1],
 
11728
[1075874700,1],
 
11729
[1075896300,1],
 
11730
[1075917900,1],
 
11731
[1075939500,1],
 
11732
[1075961100,1],
 
11733
[1075982700,1],
 
11734
[1076004300,1],
 
11735
[1076025900,1],
 
11736
[1076047500,1],
 
11737
[1076069100,1],
 
11738
[1076112300,1],
 
11739
[1076133900,1],
 
11740
[1076155500,1],
 
11741
[1076198700,1],
 
11742
[1076220300,1],
 
11743
[1076241900,1],
 
11744
[1076263500,1],
 
11745
[1076285100,1],
 
11746
[1076306700,1],
 
11747
[1076328300,1],
 
11748
[1076349900,1],
 
11749
[1076371500,1],
 
11750
[1076393100,1],
 
11751
[1076414700,1],
 
11752
[1076436300,1],
 
11753
[1076457900,1],
 
11754
[1076501100,1],
 
11755
[1076522700,1],
 
11756
[1076544300,1],
 
11757
[1076565900,1],
 
11758
[1076587500,1],
 
11759
[1076609100,1],
 
11760
[1076630700,1],
 
11761
[1076652300,1],
 
11762
[1076673900,1],
 
11763
[1076695500,1],
 
11764
[1076717100,1],
 
11765
[1076738700,1],
 
11766
[1076760300,1],
 
11767
[1076781900,1],
 
11768
[1076803500,1],
 
11769
[1076825100,1],
 
11770
[1076846700,1],
 
11771
[1076868300,1],
 
11772
[1076889900,1],
 
11773
[1076911500,1],
 
11774
[1076933100,1],
 
11775
[1076954700,1],
 
11776
[1076976300,1],
 
11777
[1076997900,1],
 
11778
[1077019500,1],
 
11779
[1077041100,1],
 
11780
[1077062700,1],
 
11781
[1077084300,1],
 
11782
[1077105900,1],
 
11783
[1077127500,1],
 
11784
[1077149100,1],
 
11785
[1077170700,1],
 
11786
[1077192300,1],
 
11787
[1077213900,1],
 
11788
[1077235500,1],
 
11789
[1077257100,1],
 
11790
[1077278700,1],
 
11791
[1077300300,1],
 
11792
[1077321900,1],
 
11793
[1077343500,1],
 
11794
[1077365100,1],
 
11795
[1077386700,1],
 
11796
[1077408300,1],
 
11797
[1077429900,1],
 
11798
[1077451500,1],
 
11799
[1077473100,1],
 
11800
[1077494700,1],
 
11801
[1077516300,1],
 
11802
[1077537900,1],
 
11803
[1077559500,1],
 
11804
[1077581100,1],
 
11805
[1077602700,1],
 
11806
[1077624300,1],
 
11807
[1077645900,1],
 
11808
[1077667500,1],
 
11809
[1077689100,1],
 
11810
[1077710700,1],
 
11811
[1077732300,1],
 
11812
[1077775500,1],
 
11813
[1077797100,1],
 
11814
[1077818700,1],
 
11815
[1077840300,1],
 
11816
[1077861900,1],
 
11817
[1077883500,1],
 
11818
[1077905100,1],
 
11819
[1077926700,1],
 
11820
[1077948300,1],
 
11821
[1077969900,1],
 
11822
[1077991500,1],
 
11823
[1078013100,1],
 
11824
[1078034700,1],
 
11825
[1078056300,1],
 
11826
[1078077900,1],
 
11827
[1078099500,1],
 
11828
[1078121100,1],
 
11829
[1078142700,1],
 
11830
[1078164300,1],
 
11831
[1078185900,1],
 
11832
[1078207500,1],
 
11833
[1078229100,1],
 
11834
[1078250700,1],
 
11835
[1078272300,1],
 
11836
[1078293900,1],
 
11837
[1078315500,1],
 
11838
[1078337100,1],
 
11839
[1078358700,1],
 
11840
[1078380300,1],
 
11841
[1078401900,1],
 
11842
[1078423500,1],
 
11843
[1078445100,1],
 
11844
[1078466700,1],
 
11845
[1078488300,1],
 
11846
[1078509900,1],
 
11847
[1078531500,1],
 
11848
[1078553100,1],
 
11849
[1078574700,1],
 
11850
[1078596300,1],
 
11851
[1078617900,1],
 
11852
[1078639500,1],
 
11853
[1078661100,1],
 
11854
[1078682700,1],
 
11855
[1078704300,1],
 
11856
[1078725900,1],
 
11857
[1078747500,1],
 
11858
[1078769100,1],
 
11859
[1078790700,1],
 
11860
[1078812300,1],
 
11861
[1078833900,1],
 
11862
[1078855500,1],
 
11863
[1078877100,1],
 
11864
[1078898700,1],
 
11865
[1078920300,1],
 
11866
[1078941900,1],
 
11867
[1078963500,1],
 
11868
[1078985100,1],
 
11869
[1079006700,1],
 
11870
[1079028300,1],
 
11871
[1079049900,1],
 
11872
[1079071500,1],
 
11873
[1079093100,1],
 
11874
[1079114700,1],
 
11875
[1079136300,1],
 
11876
[1079157900,1],
 
11877
[1079179500,1],
 
11878
[1079201100,1],
 
11879
[1079222700,1],
 
11880
[1079244300,1],
 
11881
[1079265900,1],
 
11882
[1079287500,1],
 
11883
[1079309100,1],
 
11884
[1079330700,1],
 
11885
[1079352300,1],
 
11886
[1079373900,1],
 
11887
[1079395500,1],
 
11888
[1079417100,1],
 
11889
[1079438700,1],
 
11890
[1079460300,1],
 
11891
[1079481900,1],
 
11892
[1079503500,1],
 
11893
[1079525100,1],
 
11894
[1079546700,1],
 
11895
[1079568300,1],
 
11896
[1079586600,1],
 
11897
[1081141500,1],
 
11898
[1081163100,1],
 
11899
[1081184700,1],
 
11900
[1081206300,1],
 
11901
[1081227900,1],
 
11902
[1081249500,1],
 
11903
[1081271100,1],
 
11904
[1081314300,1],
 
11905
[1081335900,1],
 
11906
[1081357500,1],
 
11907
[1081379100,1],
 
11908
[1081400700,1],
 
11909
[1081422300,1],
 
11910
[1081443900,1],
 
11911
[1081465500,1],
 
11912
[1081487100,1],
 
11913
[1081508700,1],
 
11914
[1081530300,1],
 
11915
[1081551900,1],
 
11916
[1081573500,1],
 
11917
[1081595100,1],
 
11918
[1081616700,1],
 
11919
[1081638300,1],
 
11920
[1081659900,1],
 
11921
[1081681500,1],
 
11922
[1081703100,1],
 
11923
[1081724700,1],
 
11924
[1081746300,1],
 
11925
[1081767900,1],
 
11926
[1081789500,1],
 
11927
[1081811100,1],
 
11928
[1081832700,1],
 
11929
[1081854300,1],
 
11930
[1081875900,1],
 
11931
[1081897500,1],
 
11932
[1081919100,1],
 
11933
[1081940700,1],
 
11934
[1081962300,1],
 
11935
[1081983900,1],
 
11936
[1082005500,1],
 
11937
[1082027100,1],
 
11938
[1082048700,1],
 
11939
[1082070300,1],
 
11940
[1082113500,1],
 
11941
[1082135100,1],
 
11942
[1082156700,1],
 
11943
[1082178300,1],
 
11944
[1082221500,1],
 
11945
[1082243100,1],
 
11946
[1082264700,1],
 
11947
[1082286300,1],
 
11948
[1082307900,1],
 
11949
[1082329500,1],
 
11950
[1082351100,1],
 
11951
[1082372700,1],
 
11952
[1082394300,1],
 
11953
[1082415900,1],
 
11954
[1082437500,1],
 
11955
[1082459100,1],
 
11956
[1082480700,1],
 
11957
[1082502300,1],
 
11958
[1082523900,1],
 
11959
[1082610300,1],
 
11960
[1082631900,1],
 
11961
[1083030000,1],
 
11962
[1083042300,1],
 
11963
[1083063900,1],
 
11964
[1083085500,1],
 
11965
[1083107100,1],
 
11966
[1083128700,1],
 
11967
[1083150300,1],
 
11968
[1083171900,1],
 
11969
[1083193500,1],
 
11970
[1083215100,1],
 
11971
[1083236700,1],
 
11972
[1083258300,1],
 
11973
[1083279900,1],
 
11974
[1083301500,1],
 
11975
[1083323100,1],
 
11976
[1083344700,1],
 
11977
[1083366300,1],
 
11978
[1083387900,1],
 
11979
[1083409500,1],
 
11980
[1083431100,1],
 
11981
[1083452700,1],
 
11982
[1083474300,1],
 
11983
[1083495900,1],
 
11984
[1083517500,1],
 
11985
[1083539100,1],
 
11986
[1083560700,1],
 
11987
[1083582300,1],
 
11988
[1083603900,1],
 
11989
[1083625500,1],
 
11990
[1083647100,1],
 
11991
[1083668700,1],
 
11992
[1083690300,1],
 
11993
[1083711900,1],
 
11994
[1083733500,1],
 
11995
[1083755100,1],
 
11996
[1083776700,1],
 
11997
[1083798300,1],
 
11998
[1083819900,1],
 
11999
[1083841500,1],
 
12000
[1083863100,1],
 
12001
[1083884700,1],
 
12002
[1083906300,1],
 
12003
[1083927900,1],
 
12004
[1083949500,1],
 
12005
[1083971100,1],
 
12006
[1083992700,1],
 
12007
[1084014300,1],
 
12008
[1084035900,1],
 
12009
[1084057500,1],
 
12010
[1084079100,1],
 
12011
[1084100700,1],
 
12012
[1084122300,1],
 
12013
[1084143900,1],
 
12014
[1084187100,1],
 
12015
[1084208700,1],
 
12016
[1084230300,1],
 
12017
[1084251900,1],
 
12018
[1084273500,1],
 
12019
[1084295100,1],
 
12020
[1084316700,1],
 
12021
[1084338300,1],
 
12022
[1084359900,1],
 
12023
[1084381500,1],
 
12024
[1084403100,1],
 
12025
[1084424700,1],
 
12026
[1084446300,1],
 
12027
[1084467900,1],
 
12028
[1084489500,1],
 
12029
[1084511100,1],
 
12030
[1084532700,1],
 
12031
[1084554300,1],
 
12032
[1084597500,1],
 
12033
[1084619100,1],
 
12034
[1084640700,1],
 
12035
[1084662300,1],
 
12036
[1084683900,1],
 
12037
[1084705500,1],
 
12038
[1084727100,1],
 
12039
[1084748700,1],
 
12040
[1084770300,1],
 
12041
[1084791900,1],
 
12042
[1084813500,1],
 
12043
[1084835100,1],
 
12044
[1084856700,1],
 
12045
[1084878300,1],
 
12046
[1084899900,1],
 
12047
[1084921500,1],
 
12048
[1084943100,1],
 
12049
[1084964700,1],
 
12050
[1084986300,1],
 
12051
[1085007900,1],
 
12052
[1085029500,1],
 
12053
[1085051100,1],
 
12054
[1085072700,1],
 
12055
[1085094300,1],
 
12056
[1085115900,1],
 
12057
[1085137500,1],
 
12058
[1085159100,1],
 
12059
[1085180700,1],
 
12060
[1085202300,1],
 
12061
[1085223900,1],
 
12062
[1085245500,1],
 
12063
[1085267100,1],
 
12064
[1085288700,1],
 
12065
[1085310300,1],
 
12066
[1085331900,1],
 
12067
[1085353500,1],
 
12068
[1085375100,1],
 
12069
[1085396700,1],
 
12070
[1085418300,1],
 
12071
[1085461500,1],
 
12072
[1085483100,1],
 
12073
[1085504700,1],
 
12074
[1085526300,1],
 
12075
[1085547900,1],
 
12076
[1085569500,1],
 
12077
[1085591100,1],
 
12078
[1085612700,1],
 
12079
[1085634300,1],
 
12080
[1085655900,1],
 
12081
[1085677500,1],
 
12082
[1085699100,1],
 
12083
[1085720700,1],
 
12084
[1085742300,1],
 
12085
[1085763900,1],
 
12086
[1085785500,1],
 
12087
[1085807100,1],
 
12088
[1085828700,1],
 
12089
[1085850300,1],
 
12090
[1085871900,1],
 
12091
[1085893500,1],
 
12092
[1085915100,1],
 
12093
[1085936700,1],
 
12094
[1085958300,1],
 
12095
[1085979900,1],
 
12096
[1086001500,1],
 
12097
[1086023100,1],
 
12098
[1086044700,1],
 
12099
[1086066300,1],
 
12100
[1086087900,1],
 
12101
[1086109500,1],
 
12102
[1086131100,1],
 
12103
[1086152700,1],
 
12104
[1086174300,1],
 
12105
[1086195900,1],
 
12106
[1086215400,1],
 
12107
[1086239100,1],
 
12108
[1086260700,1],
 
12109
[1086282300,1],
 
12110
[1086303900,1],
 
12111
[1086325500,1],
 
12112
[1086347100,1],
 
12113
[1086368700,1],
 
12114
[1086390300,1],
 
12115
[1086411900,1],
 
12116
[1086433500,1],
 
12117
[1086455100,1],
 
12118
[1086476700,1],
 
12119
[1086498300,1],
 
12120
[1086519900,1],
 
12121
[1086541500,1],
 
12122
[1086563100,1],
 
12123
[1086584700,1],
 
12124
[1086606300,1],
 
12125
[1086627900,1],
 
12126
[1086671100,1],
 
12127
[1086691800,1],
 
12128
[1086739800,1],
 
12129
[1086754200,1],
 
12130
[1086843900,1],
 
12131
[1086865500,1],
 
12132
[1086908700,1],
 
12133
[1086930300,1],
 
12134
[1086951900,1],
 
12135
[1086973500,1],
 
12136
[1086995100,1],
 
12137
[1087016700,1],
 
12138
[1087038300,1],
 
12139
[1087059900,1],
 
12140
[1087103100,1],
 
12141
[1087124700,1],
 
12142
[1087146300,1],
 
12143
[1087167900,1],
 
12144
[1087189500,1],
 
12145
[1087211100,1],
 
12146
[1087232700,1],
 
12147
[1087254300,1],
 
12148
[1087275900,1],
 
12149
[1087297500,1],
 
12150
[1087319100,1],
 
12151
[1088982300,1],
 
12152
[1089003900,1],
 
12153
[1089025500,1],
 
12154
[1089047100,1],
 
12155
[1089068700,1],
 
12156
[1089090300,1],
 
12157
[1089111900,1],
 
12158
[1089155100,1],
 
12159
[1089176700,1],
 
12160
[1089198300,1],
 
12161
[1089219900,1],
 
12162
[1089241500,1],
 
12163
[1089263100,1],
 
12164
[1089284700,1],
 
12165
[1089306300,1],
 
12166
[1089327900,1],
 
12167
[1089349500,1],
 
12168
[1089371100,1],
 
12169
[1089392700,1],
 
12170
[1089414300,1],
 
12171
[1089435900,1],
 
12172
[1089457500,1],
 
12173
[1089479100,1],
 
12174
[1089500700,1],
 
12175
[1089522300,1],
 
12176
[1089543900,1],
 
12177
[1089565500,1],
 
12178
[1089587100,1],
 
12179
[1089608700,1],
 
12180
[1089630300,1],
 
12181
[1089651900,1],
 
12182
[1089673500,1],
 
12183
[1089695100,1],
 
12184
[1089716700,1],
 
12185
[1089738300,1],
 
12186
[1089759900,1],
 
12187
[1089781500,1],
 
12188
[1089803100,1],
 
12189
[1089824700,1],
 
12190
[1089846300,1],
 
12191
[1089867900,1],
 
12192
[1089889500,1],
 
12193
[1089911100,1],
 
12194
[1089932700,1],
 
12195
[1089954300,1],
 
12196
[1089975900,1],
 
12197
[1089997500,1],
 
12198
[1090019100,1],
 
12199
[1090040700,1],
 
12200
[1090062300,1],
 
12201
[1090083900,1],
 
12202
[1090105500,1],
 
12203
[1090127100,1],
 
12204
[1090148700,1],
 
12205
[1090170300,1],
 
12206
[1090191900,1],
 
12207
[1090213500,1],
 
12208
[1090235100,1],
 
12209
[1090256400,1],
 
12210
[1090278300,1],
 
12211
[1090299900,1],
 
12212
[1090321500,1],
 
12213
[1090343100,1],
 
12214
[1090364700,1],
 
12215
[1090386300,1],
 
12216
[1090407900,1],
 
12217
[1090429500,1],
 
12218
[1090451100,1],
 
12219
[1090472700,1],
 
12220
[1090494300,1],
 
12221
[1090515900,1],
 
12222
[1090537500,1],
 
12223
[1090580700,1],
 
12224
[1090602300,1],
 
12225
[1090623900,1],
 
12226
[1090645500,1],
 
12227
[1090667100,1],
 
12228
[1090688700,1],
 
12229
[1090710300,1],
 
12230
[1090731900,1],
 
12231
[1090753500,1],
 
12232
[1090775100,1],
 
12233
[1090796700,1],
 
12234
[1090818300,1],
 
12235
[1090839900,1],
 
12236
[1090861500,1],
 
12237
[1090883100,1],
 
12238
[1090904700,1],
 
12239
[1090926300,1],
 
12240
[1090947900,1],
 
12241
[1090969500,1],
 
12242
[1090991100,1],
 
12243
[1091012700,1],
 
12244
[1091034300,1],
 
12245
[1091055900,1],
 
12246
[1091077500,1],
 
12247
[1091099100,1],
 
12248
[1091120700,1],
 
12249
[1091142300,1],
 
12250
[1091163900,1],
 
12251
[1091185500,1],
 
12252
[1091207100,1],
 
12253
[1091228700,1],
 
12254
[1091250300,1],
 
12255
[1091271900,1],
 
12256
[1091293500,1],
 
12257
[1091315100,1],
 
12258
[1091336700,1],
 
12259
[1091358300,1],
 
12260
[1091379900,1],
 
12261
[1091401500,1],
 
12262
[1091423100,1],
 
12263
[1091444700,1],
 
12264
[1091466300,1],
 
12265
[1091487900,1],
 
12266
[1091509500,1],
 
12267
[1091531100,1],
 
12268
[1091552700,1],
 
12269
[1091574300,1],
 
12270
[1091595900,1],
 
12271
[1091617500,1],
 
12272
[1091639100,1],
 
12273
[1091660700,1],
 
12274
[1091682300,1],
 
12275
[1091703900,1],
 
12276
[1091725500,1],
 
12277
[1091747100,1],
 
12278
[1091768700,1],
 
12279
[1091790300,1],
 
12280
[1091811900,1],
 
12281
[1091833500,1],
 
12282
[1091855100,1],
 
12283
[1091876700,1],
 
12284
[1091898300,1],
 
12285
[1091919900,1],
 
12286
[1091941500,1],
 
12287
[1091963100,1],
 
12288
[1091984700,1],
 
12289
[1092006300,1],
 
12290
[1092027900,1],
 
12291
[1092049500,1],
 
12292
[1092071100,1],
 
12293
[1092092700,1],
 
12294
[1092114300,1],
 
12295
[1092135900,1],
 
12296
[1092157500,1],
 
12297
[1092179100,1],
 
12298
[1092200700,1],
 
12299
[1092222300,1],
 
12300
[1092243900,1],
 
12301
[1092265500,1],
 
12302
[1092287100,1],
 
12303
[1092308700,1],
 
12304
[1092330300,1],
 
12305
[1092351900,1],
 
12306
[1092438600,1],
 
12307
[1092459900,1],
 
12308
[1092481500,1],
 
12309
[1092503100,1],
 
12310
[1092524700,1],
 
12311
[1092546300,1],
 
12312
[1092567900,1],
 
12313
[1092589500,1],
 
12314
[1092611100,1],
 
12315
[1092632700,1],
 
12316
[1092654300,1],
 
12317
[1092675900,1],
 
12318
[1092697500,1],
 
12319
[1092719100,1],
 
12320
[1092740700,1],
 
12321
[1092762300,1],
 
12322
[1092783900,1],
 
12323
[1092805500,1],
 
12324
[1092827100,1],
 
12325
[1092848700,1],
 
12326
[1092870300,1],
 
12327
[1092891900,1],
 
12328
[1092913500,1],
 
12329
[1092935100,1],
 
12330
[1092956700,1],
 
12331
[1092978300,1],
 
12332
[1092999900,1],
 
12333
[1093021500,1],
 
12334
[1093043100,1],
 
12335
[1093064700,1],
 
12336
[1093086300,1],
 
12337
[1093107900,1],
 
12338
[1093129500,1],
 
12339
[1093151100,1],
 
12340
[1093172700,1],
 
12341
[1093194300,1],
 
12342
[1093215900,1],
 
12343
[1093237500,1],
 
12344
[1093259100,1],
 
12345
[1093280700,1],
 
12346
[1093302300,1],
 
12347
[1093323900,1],
 
12348
[1093345500,1],
 
12349
[1093367100,1],
 
12350
[1093388700,1],
 
12351
[1093410300,1],
 
12352
[1093431900,1],
 
12353
[1093453500,1],
 
12354
[1093469700,1],
 
12355
[1093496700,1],
 
12356
[1093518300,1],
 
12357
[1093539900,1],
 
12358
[1093561500,1],
 
12359
[1093583100,1],
 
12360
[1093604700,1],
 
12361
[1093626300,1],
 
12362
[1093647900,1],
 
12363
[1093669500,1],
 
12364
[1093691100,1],
 
12365
[1093712700,1],
 
12366
[1093734300,1],
 
12367
[1093755900,1],
 
12368
[1093777500,1],
 
12369
[1093799100,1],
 
12370
[1093820700,1],
 
12371
[1093842300,1],
 
12372
[1093863900,1],
 
12373
[1093885500,1],
 
12374
[1093908900,1],
 
12375
[1093928700,1],
 
12376
[1093950300,1],
 
12377
[1093971900,1],
 
12378
[1093993500,1],
 
12379
[1094015100,1],
 
12380
[1094036700,1],
 
12381
[1094056500,1],
 
12382
[1094079900,1],
 
12383
[1094101500,1],
 
12384
[1094123100,1],
 
12385
[1094144700,1],
 
12386
[1094166300,1],
 
12387
[1094187900,1],
 
12388
[1094209500,1],
 
12389
[1094231100,1],
 
12390
[1094252700,1],
 
12391
[1094274300,1],
 
12392
[1094295900,1],
 
12393
[1094317500,1],
 
12394
[1094339100,1],
 
12395
[1094360700,1],
 
12396
[1094382300,1],
 
12397
[1094403900,1],
 
12398
[1094425500,1],
 
12399
[1094447100,1],
 
12400
[1094468700,1],
 
12401
[1094490300,1],
 
12402
[1094511900,1],
 
12403
[1094533500,1],
 
12404
[1094555100,1],
 
12405
[1094576700,1],
 
12406
[1094598300,1],
 
12407
[1094619900,1],
 
12408
[1094641500,1],
 
12409
[1094663100,1],
 
12410
[1094684700,1],
 
12411
[1094706300,1],
 
12412
[1094727900,1],
 
12413
[1094749500,1],
 
12414
[1094771100,1],
 
12415
[1094814300,1],
 
12416
[1094835900,1],
 
12417
[1094857500,1],
 
12418
[1096866300,1],
 
12419
[1096887900,1],
 
12420
[1096931100,1],
 
12421
[1096952700,1],
 
12422
[1096974300,1],
 
12423
[1096995900,1],
 
12424
[1097017500,1],
 
12425
[1097039100,1],
 
12426
[1097061000,1],
 
12427
[1097082300,1],
 
12428
[1097103900,1],
 
12429
[1097132100,1],
 
12430
[1097147400,1],
 
12431
[1097168700,1],
 
12432
[1097190300,1],
 
12433
[1097211900,1],
 
12434
[1097233500,1],
 
12435
[1097255100,1],
 
12436
[1097277300,1],
 
12437
[1097298300,1],
 
12438
[1097319900,1],
 
12439
[1097341500,1],
 
12440
[1097363100,1],
 
12441
[1097384700,1],
 
12442
[1097406300,1],
 
12443
[1097427900,1],
 
12444
[1097449500,1],
 
12445
[1097471100,1],
 
12446
[1097492700,1],
 
12447
[1097514300,1],
 
12448
[1097535900,1],
 
12449
[1097557500,1],
 
12450
[1097579100,1],
 
12451
[1097600700,1],
 
12452
[1097622300,1],
 
12453
[1097643900,1],
 
12454
[1097665500,1],
 
12455
[1097687100,1],
 
12456
[1097708700,1],
 
12457
[1097730300,1],
 
12458
[1097751900,1],
 
12459
[1097773500,1],
 
12460
[1097795100,1],
 
12461
[1097816700,1],
 
12462
[1097838300,1],
 
12463
[1097859900,1],
 
12464
[1097881500,1],
 
12465
[1097903100,1],
 
12466
[1097924700,1],
 
12467
[1097946300,1],
 
12468
[1097967900,1],
 
12469
[1097989500,1],
 
12470
[1098011100,1],
 
12471
[1098032700,1],
 
12472
[1098054300,1],
 
12473
[1098075900,1],
 
12474
[1098097500,1],
 
12475
[1098119100,1],
 
12476
[1098140700,1],
 
12477
[1098162300,1],
 
12478
[1098183900,1],
 
12479
[1098205500,1],
 
12480
[1098227100,1],
 
12481
[1098248700,1],
 
12482
[1098270300,1],
 
12483
[1098291900,1],
 
12484
[1098313500,1],
 
12485
[1098335100,1],
 
12486
[1098356700,1],
 
12487
[1098378300,1],
 
12488
[1098400800,1],
 
12489
[1098421500,1],
 
12490
[1098443100,1],
 
12491
[1098464700,1],
 
12492
[1098486300,1],
 
12493
[1098507900,1],
 
12494
[1098529500,1],
 
12495
[1098551100,1],
 
12496
[1098572700,1],
 
12497
[1098594300,1],
 
12498
[1098615900,1],
 
12499
[1098637500,1],
 
12500
[1098659100,1],
 
12501
[1098680700,1],
 
12502
[1098702300,1],
 
12503
[1098723900,1],
 
12504
[1098745500,1],
 
12505
[1098767100,1],
 
12506
[1098788700,1],
 
12507
[1098810300,1],
 
12508
[1098831900,1],
 
12509
[1098853500,1],
 
12510
[1098875100,1],
 
12511
[1098896700,1],
 
12512
[1098918300,1],
 
12513
[1098939900,1],
 
12514
[1098961500,1],
 
12515
[1098983100,1],
 
12516
[1099004700,1],
 
12517
[1099026300,1],
 
12518
[1099047900,1],
 
12519
[1099069500,1],
 
12520
[1099091100,1],
 
12521
[1099112700,1],
 
12522
[1099134300,1],
 
12523
[1099155900,1],
 
12524
[1099177500,1],
 
12525
[1099202700,1],
 
12526
[1099224300,1],
 
12527
[1099245900,1],
 
12528
[1099267500,1],
 
12529
[1099289100,1],
 
12530
[1099310700,1],
 
12531
[1099333500,1],
 
12532
[1099353900,1],
 
12533
[1099375500,1],
 
12534
[1099397100,1],
 
12535
[1099418700,1],
 
12536
[1099440300,1],
 
12537
[1099461900,1],
 
12538
[1099483500,1],
 
12539
[1099505100,1],
 
12540
[1099526700,1],
 
12541
[1099548300,1],
 
12542
[1099569900,1],
 
12543
[1099591500,1],
 
12544
[1099613100,1],
 
12545
[1099634700,1],
 
12546
[1099656300,1],
 
12547
[1099677900,1],
 
12548
[1099699500,1],
 
12549
[1099721100,1],
 
12550
[1099742700,1],
 
12551
[1099764300,1],
 
12552
[1099785900,1],
 
12553
[1099807500,1],
 
12554
[1099829100,1],
 
12555
[1099850700,1],
 
12556
[1099872300,1],
 
12557
[1099893900,1],
 
12558
[1099915500,1],
 
12559
[1099937100,1],
 
12560
[1099958700,1],
 
12561
[1099980300,1],
 
12562
[1100001900,1],
 
12563
[1100023500,1],
 
12564
[1100045100,1],
 
12565
[1100066700,1],
 
12566
[1100088300,1],
 
12567
[1100109900,1],
 
12568
[1100131500,1],
 
12569
[1100153100,1],
 
12570
[1100174700,1],
 
12571
[1100196300,1],
 
12572
[1100217900,1],
 
12573
[1100239500,1],
 
12574
[1100261100,1],
 
12575
[1100282700,1],
 
12576
[1100304300,1],
 
12577
[1100325900,1],
 
12578
[1100347500,1],
 
12579
[1100369100,1],
 
12580
[1100390700,1],
 
12581
[1100412300,1],
 
12582
[1100433900,1],
 
12583
[1100455500,1],
 
12584
[1100477100,1],
 
12585
[1100498700,1],
 
12586
[1100520300,1],
 
12587
[1100541900,1],
 
12588
[1100563500,1],
 
12589
[1100585100,1],
 
12590
[1100606700,1],
 
12591
[1100628300,1],
 
12592
[1100649900,1],
 
12593
[1100671500,1],
 
12594
[1100693100,1],
 
12595
[1100714700,1],
 
12596
[1100736300,1],
 
12597
[1100757900,1],
 
12598
[1100779500,1],
 
12599
[1100801100,1],
 
12600
[1100824500,1],
 
12601
[1100844300,1],
 
12602
[1100865900,1],
 
12603
[1100887500,1],
 
12604
[1100909100,1],
 
12605
[1100930700,1],
 
12606
[1100952300,1],
 
12607
[1100973900,1],
 
12608
[1100995500,1],
 
12609
[1101017100,1],
 
12610
[1101038700,1],
 
12611
[1101060300,1],
 
12612
[1101081900,1],
 
12613
[1101103500,1],
 
12614
[1101125100,1],
 
12615
[1101146700,1],
 
12616
[1101168300,1],
 
12617
[1101189900,1],
 
12618
[1101211500,1],
 
12619
[1101233100,1],
 
12620
[1101254700,1],
 
12621
[1101276300,1],
 
12622
[1101297900,1],
 
12623
[1101319500,1],
 
12624
[1101341100,1],
 
12625
[1101362700,1],
 
12626
[1101384300,1],
 
12627
[1101405900,1],
 
12628
[1101427500,1],
 
12629
[1101449100,1],
 
12630
[1101470700,1],
 
12631
[1101492300,1],
 
12632
[1101513900,1],
 
12633
[1101535500,1],
 
12634
[1101557100,1],
 
12635
[1101578700,1],
 
12636
[1101600300,1],
 
12637
[1101621900,1],
 
12638
[1101643500,1],
 
12639
[1101665100,1],
 
12640
[1101686700,1],
 
12641
[1101708300,1],
 
12642
[1101729900,1],
 
12643
[1101751500,1],
 
12644
[1101773100,1],
 
12645
[1101794700,1],
 
12646
[1101816300,1],
 
12647
[1101837900,1],
 
12648
[1101881100,1],
 
12649
[1101902700,1],
 
12650
[1101924300,1],
 
12651
[1101945900,1],
 
12652
[1101967500,1],
 
12653
[1101989100,1],
 
12654
[1102010700,1],
 
12655
[1102032300,1],
 
12656
[1102053900,1],
 
12657
[1102075500,1],
 
12658
[1102097100,1],
 
12659
[1102118700,1],
 
12660
[1102140300,1],
 
12661
[1102161900,1],
 
12662
[1102183500,1],
 
12663
[1102205100,1],
 
12664
[1102226700,1],
 
12665
[1102248300,1],
 
12666
[1102269900,1],
 
12667
[1102291500,1],
 
12668
[1102313100,1],
 
12669
[1102334700,1],
 
12670
[1102356300,1],
 
12671
[1102377900,1],
 
12672
[1102399500,1],
 
12673
[1102421100,1],
 
12674
[1102442700,1],
 
12675
[1102464300,1],
 
12676
[1102485900,1],
 
12677
[1102507500,1],
 
12678
[1102529100,1],
 
12679
[1102550700,1],
 
12680
[1102658700,1],
 
12681
[1102680300,1],
 
12682
[1102701900,1],
 
12683
[1102723500,1],
 
12684
[1102745100,1],
 
12685
[1102766700,1],
 
12686
[1102788300,1],
 
12687
[1102806000,1],
 
12688
[1104299700,1],
 
12689
[1104321300,1],
 
12690
[1104342900,1],
 
12691
[1104364500,1],
 
12692
[1104386100,1],
 
12693
[1104407700,1],
 
12694
[1104429300,1],
 
12695
[1104451500,1],
 
12696
[1104473100,1],
 
12697
[1104494700,1],
 
12698
[1104516300,1],
 
12699
[1104537900,1],
 
12700
[1104559500,1],
 
12701
[1104581100,1],
 
12702
[1104602700,1],
 
12703
[1104624300,1],
 
12704
[1104645900,1],
 
12705
[1104667500,1],
 
12706
[1104689100,1],
 
12707
[1104710700,1],
 
12708
[1104732300,1],
 
12709
[1104753900,1],
 
12710
[1104775500,1],
 
12711
[1104797100,1],
 
12712
[1104818700,1],
 
12713
[1104840300,1],
 
12714
[1104861900,1],
 
12715
[1104883500,1],
 
12716
[1104905100,1],
 
12717
[1104926700,1],
 
12718
[1104948300,1],
 
12719
[1104969900,1],
 
12720
[1104991500,1],
 
12721
[1105013100,1],
 
12722
[1105034700,1],
 
12723
[1105056300,1],
 
12724
[1105077900,1],
 
12725
[1105099500,1],
 
12726
[1105121100,1],
 
12727
[1105142700,1],
 
12728
[1105164300,1],
 
12729
[1105185900,1],
 
12730
[1105207500,1],
 
12731
[1105229100,1],
 
12732
[1105250700,1],
 
12733
[1105272300,1],
 
12734
[1105293900,1],
 
12735
[1105315500,1],
 
12736
[1105337100,1],
 
12737
[1105358700,1],
 
12738
[1105380300,1],
 
12739
[1105401900,1],
 
12740
[1105423500,1],
 
12741
[1105445100,1],
 
12742
[1105466700,1],
 
12743
[1105488300,1],
 
12744
[1105509900,1],
 
12745
[1105531500,1],
 
12746
[1105553100,1],
 
12747
[1105574700,1],
 
12748
[1105596300,1],
 
12749
[1105617900,1],
 
12750
[1105639500,1],
 
12751
[1105661100,1],
 
12752
[1105682700,1],
 
12753
[1105704300,1],
 
12754
[1105735200,1],
 
12755
[1105747500,1],
 
12756
[1105769100,1],
 
12757
[1105790700,1],
 
12758
[1105812300,1],
 
12759
[1105833900,1],
 
12760
[1105855500,1],
 
12761
[1105877100,1],
 
12762
[1105898700,1],
 
12763
[1105920300,1],
 
12764
[1105941900,1],
 
12765
[1105963500,1],
 
12766
[1105987200,1],
 
12767
[1106010900,1],
 
12768
[1106036100,1],
 
12769
[1106059800,1],
 
12770
[1106081400,1],
 
12771
[1106102100,1],
 
12772
[1106122200,1],
 
12773
[1106142000,1],
 
12774
[1106161800,1],
 
12775
[1106179500,1],
 
12776
[1106222700,1],
 
12777
[1106252700,1],
 
12778
[1106275800,1],
 
12779
[1106296500,1],
 
12780
[1106316900,1],
 
12781
[1106337000,1],
 
12782
[1106356800,1],
 
12783
[1106362500,1],
 
12784
[1106373900,1],
 
12785
[1106395500,1],
 
12786
[1106417100,1],
 
12787
[1106438700,1],
 
12788
[1106460300,1],
 
12789
[1106481900,1],
 
12790
[1106503500,1],
 
12791
[1106525100,1],
 
12792
[1106546700,1],
 
12793
[1106568300,1],
 
12794
[1106589900,1],
 
12795
[1106611500,1],
 
12796
[1106633100,1],
 
12797
[1106654700,1],
 
12798
[1106676300,1],
 
12799
[1106697900,1],
 
12800
[1106719500,1],
 
12801
[1106741100,1],
 
12802
[1106762700,1],
 
12803
[1106784300,1],
 
12804
[1106805900,1],
 
12805
[1106827500,1],
 
12806
[1106849100,1],
 
12807
[1106870700,1],
 
12808
[1106892300,1],
 
12809
[1106913900,1],
 
12810
[1106935500,1],
 
12811
[1106957100,1],
 
12812
[1106978700,1],
 
12813
[1107000300,1],
 
12814
[1107021900,1],
 
12815
[1107043500,1],
 
12816
[1107065100,1],
 
12817
[1107086700,1],
 
12818
[1107108300,1],
 
12819
[1107129900,1],
 
12820
[1107151500,1],
 
12821
[1107173100,1],
 
12822
[1107198300,1],
 
12823
[1107216300,1],
 
12824
[1107237900,1],
 
12825
[1107259500,1],
 
12826
[1107281100,1],
 
12827
[1107302700,1],
 
12828
[1107324300,1],
 
12829
[1107345900,1],
 
12830
[1107367500,1],
 
12831
[1107389100,1],
 
12832
[1107410700,1],
 
12833
[1107432300,1],
 
12834
[1107453900,1],
 
12835
[1107475500,1],
 
12836
[1107497100,1],
 
12837
[1107518700,1],
 
12838
[1107540300,1],
 
12839
[1107561900,1],
 
12840
[1107583500,1],
 
12841
[1107605100,1],
 
12842
[1107626700,1],
 
12843
[1107648300,1],
 
12844
[1107669900,1],
 
12845
[1107691500,1],
 
12846
[1107713100,1],
 
12847
[1107734700,1],
 
12848
[1107756300,1],
 
12849
[1107777900,1],
 
12850
[1107799500,1],
 
12851
[1107821100,1],
 
12852
[1107842700,1],
 
12853
[1107864300,1],
 
12854
[1107885900,1],
 
12855
[1107907500,1],
 
12856
[1107929100,1],
 
12857
[1107950700,1],
 
12858
[1107972300,1],
 
12859
[1107993900,1],
 
12860
[1108015500,1],
 
12861
[1108037100,1],
 
12862
[1108058700,1],
 
12863
[1108080300,1],
 
12864
[1108101900,1],
 
12865
[1108123500,1],
 
12866
[1108145100,1],
 
12867
[1108166700,1],
 
12868
[1108188300,1],
 
12869
[1108209900,1],
 
12870
[1108231500,1],
 
12871
[1108253100,1],
 
12872
[1108274700,1],
 
12873
[1108296300,1],
 
12874
[1108317900,1],
 
12875
[1108339500,1],
 
12876
[1108361100,1],
 
12877
[1108382700,1],
 
12878
[1108404300,1],
 
12879
[1108425900,1],
 
12880
[1108447500,1],
 
12881
[1108469100,1],
 
12882
[1108490700,1],
 
12883
[1108512300,1],
 
12884
[1108533900,1],
 
12885
[1108555500,1],
 
12886
[1108573800,1],
 
12887
[1108598700,1],
 
12888
[1108620300,1],
 
12889
[1108641900,1],
 
12890
[1108663500,1],
 
12891
[1108685100,1],
 
12892
[1108706700,1],
 
12893
[1108728300,1],
 
12894
[1108749900,1],
 
12895
[1108771500,1],
 
12896
[1108793100,1],
 
12897
[1108814700,1],
 
12898
[1108836300,1],
 
12899
[1108857900,1],
 
12900
[1108879500,1],
 
12901
[1108901100,1],
 
12902
[1108922700,1],
 
12903
[1108944300,1],
 
12904
[1108965900,1],
 
12905
[1108987500,1],
 
12906
[1109009100,1],
 
12907
[1109057700,1],
 
12908
[1109073900,1],
 
12909
[1109095500,1],
 
12910
[1109108100,1],
 
12911
[1109138700,1],
 
12912
[1109160300,1],
 
12913
[1109225100,1],
 
12914
[1109246700,1],
 
12915
[1109268300,1],
 
12916
[1109280900,1],
 
12917
[1109311500,1],
 
12918
[1109333100,1],
 
12919
[1109354700,1],
 
12920
[1109367600,1],
 
12921
[1109397900,1],
 
12922
[1109419500,1],
 
12923
[1109462700,1],
 
12924
[1109484300,1],
 
12925
[1109505900,1],
 
12926
[1109527500,1],
 
12927
[1109549100,1],
 
12928
[1109570700,1],
 
12929
[1109592300,1],
 
12930
[1109613900,1],
 
12931
[1109637300,1],
 
12932
[1109657100,1],
 
12933
[1109678700,1],
 
12934
[1109700300,1],
 
12935
[1109721900,1],
 
12936
[1109743500,1],
 
12937
[1109765100,1],
 
12938
[1109786700,1],
 
12939
[1109808300,1],
 
12940
[1109829900,1],
 
12941
[1109851500,1],
 
12942
[1109873100,1],
 
12943
[1109894700,1],
 
12944
[1109916300,1],
 
12945
[1109937900,1],
 
12946
[1109960400,1],
 
12947
[1109981100,1],
 
12948
[1110024300,1],
 
12949
[1110045900,1],
 
12950
[1110067500,1],
 
12951
[1110089100,1],
 
12952
[1110110700,1],
 
12953
[1110132300,1],
 
12954
[1110153900,1],
 
12955
[1110175500,1],
 
12956
[1110197100,1],
 
12957
[1110218700,1],
 
12958
[1110240300,1],
 
12959
[1110261900,1],
 
12960
[1110283500,1],
 
12961
[1110305100,1],
 
12962
[1110326700,1],
 
12963
[1110348300,1],
 
12964
[1110369900,1],
 
12965
[1110413100,1],
 
12966
[1110434700,1],
 
12967
[1110456300,1],
 
12968
[1110477900,1],
 
12969
[1110499500,1],
 
12970
[1110521100,1],
 
12971
[1110542700,1],
 
12972
[1110564300,1],
 
12973
[1112320200,1],
 
12974
[1112335500,1],
 
12975
[1112357100,1],
 
12976
[1112378700,1],
 
12977
[1112400300,1],
 
12978
[1112421900,1],
 
12979
[1112443500,1],
 
12980
[1112465100,1],
 
12981
[1112486700,1],
 
12982
[1112508300,1],
 
12983
[1112526300,1],
 
12984
[1112547900,1],
 
12985
[1112569500,1],
 
12986
[1112591100,1],
 
12987
[1112612700,1],
 
12988
[1112634300,1],
 
12989
[1112655900,1],
 
12990
[1112677500,1],
 
12991
[1112699100,1],
 
12992
[1112720700,1],
 
12993
[1112742300,1],
 
12994
[1112763900,1],
 
12995
[1112785500,1],
 
12996
[1112828700,1],
 
12997
[1112850300,1],
 
12998
[1112871900,1],
 
12999
[1112893500,1],
 
13000
[1112915100,1],
 
13001
[1112936700,1],
 
13002
[1112958300,1],
 
13003
[1112979900,1],
 
13004
[1113009300,1],
 
13005
[1113023100,1],
 
13006
[1113044700,1],
 
13007
[1113066300,1],
 
13008
[1113087900,1],
 
13009
[1113109500,1],
 
13010
[1113131100,1],
 
13011
[1113152700,1],
 
13012
[1113174300,1],
 
13013
[1113195900,1],
 
13014
[1113217500,1],
 
13015
[1113239100,1],
 
13016
[1113260700,1],
 
13017
[1113282300,1],
 
13018
[1113303900,1],
 
13019
[1113325500,1],
 
13020
[1113347100,1],
 
13021
[1113368700,1],
 
13022
[1113390300,1],
 
13023
[1113411900,1],
 
13024
[1113433500,1],
 
13025
[1113455100,1],
 
13026
[1113476700,1],
 
13027
[1113498300,1],
 
13028
[1113519900,1],
 
13029
[1113541500,1],
 
13030
[1113563100,1],
 
13031
[1113584700,1],
 
13032
[1113606300,1],
 
13033
[1113627900,1],
 
13034
[1113649500,1],
 
13035
[1113671100,1],
 
13036
[1113692700,1],
 
13037
[1113714300,1],
 
13038
[1113735900,1],
 
13039
[1113757500,1],
 
13040
[1113779100,1],
 
13041
[1113800700,1],
 
13042
[1113822300,1],
 
13043
[1113843900,1],
 
13044
[1113865500,1],
 
13045
[1113887100,1],
 
13046
[1113908700,1],
 
13047
[1113930300,1],
 
13048
[1113951900,1],
 
13049
[1113973500,1],
 
13050
[1113995100,1],
 
13051
[1114016700,1],
 
13052
[1114038300,1],
 
13053
[1114059900,1],
 
13054
[1114081500,1],
 
13055
[1114103100,1],
 
13056
[1114124700,1],
 
13057
[1114146300,1],
 
13058
[1114167900,1],
 
13059
[1114189500,1],
 
13060
[1114211100,1],
 
13061
[1114232700,1],
 
13062
[1114254300,1],
 
13063
[1114275900,1],
 
13064
[1114297500,1],
 
13065
[1114319100,1],
 
13066
[1114340700,1],
 
13067
[1114362300,1],
 
13068
[1114383900,1],
 
13069
[1114405500,1],
 
13070
[1114427100,1],
 
13071
[1114448700,1],
 
13072
[1114470300,1],
 
13073
[1114491900,1],
 
13074
[1114513500,1],
 
13075
[1114535100,1],
 
13076
[1114556700,1],
 
13077
[1114578300,1],
 
13078
[1114599900,1],
 
13079
[1114621500,1],
 
13080
[1114643100,1],
 
13081
[1114664700,1],
 
13082
[1114686300,1],
 
13083
[1114707900,1],
 
13084
[1114729500,1],
 
13085
[1114751100,1],
 
13086
[1114772700,1],
 
13087
[1114794300,1],
 
13088
[1114815900,1],
 
13089
[1114837500,1],
 
13090
[1114859100,1],
 
13091
[1114880700,1],
 
13092
[1114902300,1],
 
13093
[1114923900,1],
 
13094
[1114945500,1],
 
13095
[1114967100,1],
 
13096
[1114988700,1],
 
13097
[1115010300,1],
 
13098
[1115031900,1],
 
13099
[1115053500,1],
 
13100
[1115075100,1],
 
13101
[1115096700,1],
 
13102
[1115118300,1],
 
13103
[1115139900,1],
 
13104
[1115161500,1],
 
13105
[1115183100,1],
 
13106
[1115204700,1],
 
13107
[1115269500,1],
 
13108
[1115291100,1],
 
13109
[1115312700,1],
 
13110
[1115334300,1],
 
13111
[1115355900,1],
 
13112
[1115377500,1],
 
13113
[1115399100,1],
 
13114
[1115420700,1],
 
13115
[1115442300,1],
 
13116
[1115463900,1],
 
13117
[1115485500,1],
 
13118
[1115507100,1],
 
13119
[1115528700,1],
 
13120
[1115550300,1],
 
13121
[1115571900,1],
 
13122
[1115593500,1],
 
13123
[1115615100,1],
 
13124
[1115636700,1],
 
13125
[1115658300,1],
 
13126
[1115679900,1],
 
13127
[1115701500,1],
 
13128
[1115723100,1],
 
13129
[1115744700,1],
 
13130
[1115766300,1],
 
13131
[1115787900,1],
 
13132
[1115809500,1],
 
13133
[1115831100,1],
 
13134
[1115874300,1],
 
13135
[1115909100,1],
 
13136
[1115917500,1],
 
13137
[1115939100,1],
 
13138
[1115960700,1],
 
13139
[1115998500,1],
 
13140
[1116047100,1],
 
13141
[1116084900,1],
 
13142
[1116111900,1],
 
13143
[1116133500,1],
 
13144
[1116155100,1],
 
13145
[1116171300,1],
 
13146
[1116198300,1],
 
13147
[1116219900,1],
 
13148
[1116241500,1],
 
13149
[1116257700,1],
 
13150
[1116284700,1],
 
13151
[1116306300,1],
 
13152
[1116327900,1],
 
13153
[1116344100,1],
 
13154
[1116371100,1],
 
13155
[1116392700,1],
 
13156
[1116414300,1],
 
13157
[1116430500,1],
 
13158
[1116457500,1],
 
13159
[1116479100,1],
 
13160
[1116500700,1],
 
13161
[1116516900,1],
 
13162
[1116543900,1],
 
13163
[1116565500,1],
 
13164
[1116587100,1],
 
13165
[1116603300,1],
 
13166
[1116630300,1],
 
13167
[1116651900,1],
 
13168
[1116673500,1],
 
13169
[1116695100,1],
 
13170
[1116716700,1],
 
13171
[1116738300,1],
 
13172
[1116759900,1],
 
13173
[1116781500,1],
 
13174
[1116803100,1],
 
13175
[1116824700,1],
 
13176
[1116846300,1],
 
13177
[1116867900,1],
 
13178
[1116889500,1],
 
13179
[1116911100,1],
 
13180
[1116932700,1],
 
13181
[1116954300,1],
 
13182
[1116975900,1],
 
13183
[1116997500,1],
 
13184
[1117019100,1],
 
13185
[1117040700,1],
 
13186
[1117062600,1],
 
13187
[1117083900,1],
 
13188
[1117105500,1],
 
13189
[1117127100,1],
 
13190
[1117148700,1],
 
13191
[1117170300,1],
 
13192
[1117191900,1],
 
13193
[1117213500,1],
 
13194
[1117235100,1],
 
13195
[1117256700,1],
 
13196
[1117278300,1],
 
13197
[1117299900,1],
 
13198
[1117321500,1],
 
13199
[1117343100,1],
 
13200
[1117364700,1],
 
13201
[1117386300,1],
 
13202
[1117407900,1],
 
13203
[1117429500,1],
 
13204
[1117445700,1],
 
13205
[1117472700,1],
 
13206
[1117494300,1],
 
13207
[1117515900,1],
 
13208
[1117532100,1],
 
13209
[1117559100,1],
 
13210
[1117580700,1],
 
13211
[1117602300,1],
 
13212
[1117618500,1],
 
13213
[1117645500,1],
 
13214
[1117667100,1],
 
13215
[1117688700,1],
 
13216
[1117704900,1],
 
13217
[1117731900,1],
 
13218
[1117753500,1],
 
13219
[1117775100,1],
 
13220
[1117791300,1],
 
13221
[1117818300,1],
 
13222
[1117839900,1],
 
13223
[1117861500,1],
 
13224
[1117883100,1],
 
13225
[1117904700,1],
 
13226
[1117926300,1],
 
13227
[1117947900,1],
 
13228
[1117969500,1],
 
13229
[1117991100,1],
 
13230
[1118012700,1],
 
13231
[1118034300,1],
 
13232
[1118055900,1],
 
13233
[1118077500,1],
 
13234
[1119677400,1],
 
13235
[1119697500,1],
 
13236
[1119719100,1],
 
13237
[1119740700,1],
 
13238
[1119762300,1],
 
13239
[1119783900,1],
 
13240
[1119805500,1],
 
13241
[1119827100,1],
 
13242
[1119848700,1],
 
13243
[1119870300,1],
 
13244
[1119891900,1],
 
13245
[1119913500,1],
 
13246
[1119935100,1],
 
13247
[1119956700,1],
 
13248
[1119978300,1],
 
13249
[1119999900,1],
 
13250
[1120021500,1],
 
13251
[1120043100,1],
 
13252
[1120064700,1],
 
13253
[1120086300,1],
 
13254
[1120107900,1],
 
13255
[1120129500,1],
 
13256
[1120151100,1],
 
13257
[1120172700,1],
 
13258
[1120194300,1],
 
13259
[1120215900,1],
 
13260
[1120237500,1],
 
13261
[1120259100,1],
 
13262
[1120280700,1],
 
13263
[1120302300,1],
 
13264
[1120323900,1],
 
13265
[1120345500,1],
 
13266
[1120367100,1],
 
13267
[1120388700,1],
 
13268
[1120410300,1],
 
13269
[1120431900,1],
 
13270
[1120453500,1],
 
13271
[1120475100,1],
 
13272
[1120496700,1],
 
13273
[1120518300,1],
 
13274
[1120539900,1],
 
13275
[1120561500,1],
 
13276
[1120583100,1],
 
13277
[1120604700,1],
 
13278
[1120626300,1],
 
13279
[1120647900,1],
 
13280
[1120669500,1],
 
13281
[1120691100,1],
 
13282
[1120712700,1],
 
13283
[1120734300,1],
 
13284
[1120763100,1],
 
13285
[1120777500,1],
 
13286
[1120799100,1],
 
13287
[1120820700,1],
 
13288
[1120842300,1],
 
13289
[1120885500,1],
 
13290
[1120907100,1],
 
13291
[1120928700,1],
 
13292
[1120950300,1],
 
13293
[1120971900,1],
 
13294
[1120993500,1],
 
13295
[1121015100,1],
 
13296
[1121036700,1],
 
13297
[1121058300,1],
 
13298
[1121079900,1],
 
13299
[1121101500,1],
 
13300
[1121123100,1],
 
13301
[1121144700,1],
 
13302
[1121166300,1],
 
13303
[1121187900,1],
 
13304
[1121209500,1],
 
13305
[1121231100,1],
 
13306
[1121252700,1],
 
13307
[1121274300,1],
 
13308
[1121295900,1],
 
13309
[1121317500,1],
 
13310
[1121339100,1],
 
13311
[1121360700,1],
 
13312
[1121382300,1],
 
13313
[1121403900,1],
 
13314
[1121425500,1],
 
13315
[1121447100,1],
 
13316
[1121468700,1],
 
13317
[1121490300,1],
 
13318
[1121511900,1],
 
13319
[1121533500,1],
 
13320
[1121555100,1],
 
13321
[1121576700,1],
 
13322
[1121598300,1],
 
13323
[1121619900,1],
 
13324
[1121641500,1],
 
13325
[1121663100,1],
 
13326
[1121684700,1],
 
13327
[1121706300,1],
 
13328
[1121727900,1],
 
13329
[1121749500,1],
 
13330
[1121771100,1],
 
13331
[1121792700,1],
 
13332
[1121814300,1],
 
13333
[1121835900,1],
 
13334
[1121857500,1],
 
13335
[1121879100,1],
 
13336
[1121900700,1],
 
13337
[1121922300,1],
 
13338
[1121944200,1],
 
13339
[1121965500,1],
 
13340
[1121987100,1],
 
13341
[1122008700,1],
 
13342
[1122030600,1],
 
13343
[1122051900,1],
 
13344
[1122073200,1],
 
13345
[1122095100,1],
 
13346
[1122116700,1],
 
13347
[1122138300,1],
 
13348
[1122159900,1],
 
13349
[1122181500,1],
 
13350
[1122203100,1],
 
13351
[1122224700,1],
 
13352
[1122246300,1],
 
13353
[1122267900,1],
 
13354
[1122289800,1],
 
13355
[1122311100,1],
 
13356
[1122332700,1],
 
13357
[1122354300,1],
 
13358
[1122375900,1],
 
13359
[1122397500,1],
 
13360
[1122419100,1],
 
13361
[1122440700,1],
 
13362
[1122462300,1],
 
13363
[1122483900,1],
 
13364
[1122505500,1],
 
13365
[1122527100,1],
 
13366
[1122548700,1],
 
13367
[1122570300,1],
 
13368
[1122591900,1],
 
13369
[1122613500,1],
 
13370
[1122635100,1],
 
13371
[1122702600,1],
 
13372
[1122704400,1],
 
13373
[1122707700,1],
 
13374
[1122725700,1],
 
13375
[1122745500,1],
 
13376
[1122765000,1],
 
13377
[1122786300,1],
 
13378
[1122807900,1],
 
13379
[1122829500,1],
 
13380
[1122851100,1],
 
13381
[1122872700,1],
 
13382
[1122894300,1],
 
13383
[1122915900,1],
 
13384
[1122937500,1],
 
13385
[1122959100,1],
 
13386
[1122980700,1],
 
13387
[1123002300,1],
 
13388
[1123023900,1],
 
13389
[1123045500,1],
 
13390
[1123067100,1],
 
13391
[1123088700,1],
 
13392
[1123106700,1],
 
13393
[1123108500,1],
 
13394
[1123110300,1],
 
13395
[1123131900,1],
 
13396
[1123153500,1],
 
13397
[1123175100,1],
 
13398
[1123196700,1],
 
13399
[1123218300,1],
 
13400
[1123239900,1],
 
13401
[1123261500,1],
 
13402
[1123307400,1],
 
13403
[1123311000,1],
 
13404
[1123328700,1],
 
13405
[1123348800,1],
 
13406
[1123369500,1],
 
13407
[1123391100,1],
 
13408
[1123412700,1],
 
13409
[1123434300,1],
 
13410
[1123455900,1],
 
13411
[1123477500,1],
 
13412
[1123499100,1],
 
13413
[1123520700,1],
 
13414
[1123542600,1],
 
13415
[1123563900,1],
 
13416
[1123585500,1],
 
13417
[1123607100,1],
 
13418
[1123628700,1],
 
13419
[1123651500,1],
 
13420
[1123671900,1],
 
13421
[1123693500,1],
 
13422
[1123715100,1],
 
13423
[1123736700,1],
 
13424
[1123758300,1],
 
13425
[1123779900,1],
 
13426
[1123801500,1],
 
13427
[1123823100,1],
 
13428
[1123844700,1],
 
13429
[1123866900,1],
 
13430
[1123887900,1],
 
13431
[1123909500,1],
 
13432
[1123931100,1],
 
13433
[1123952700,1],
 
13434
[1123974300,1],
 
13435
[1123995900,1],
 
13436
[1124017500,1],
 
13437
[1124039100,1],
 
13438
[1124060700,1],
 
13439
[1124082300,1],
 
13440
[1124103900,1],
 
13441
[1124125500,1],
 
13442
[1124147100,1],
 
13443
[1124168700,1],
 
13444
[1124190300,1],
 
13445
[1124211900,1],
 
13446
[1124233500,1],
 
13447
[1124255100,1],
 
13448
[1124276700,1],
 
13449
[1124298300,1],
 
13450
[1124319900,1],
 
13451
[1124341500,1],
 
13452
[1124363100,1],
 
13453
[1124384700,1],
 
13454
[1124406300,1],
 
13455
[1124427900,1],
 
13456
[1124449500,1],
 
13457
[1124517000,1],
 
13458
[1124518800,1],
 
13459
[1124522100,1],
 
13460
[1124540100,1],
 
13461
[1124559900,1],
 
13462
[1124579100,1],
 
13463
[1124600700,1],
 
13464
[1124622300,1],
 
13465
[1124643900,1],
 
13466
[1124665500,1],
 
13467
[1124687100,1],
 
13468
[1124708700,1],
 
13469
[1124730300,1],
 
13470
[1124751900,1],
 
13471
[1124795100,1],
 
13472
[1124816700,1],
 
13473
[1124838300,1],
 
13474
[1124859900,1],
 
13475
[1124881500,1],
 
13476
[1124903100,1],
 
13477
[1124924700,1],
 
13478
[1124946300,1],
 
13479
[1124967900,1],
 
13480
[1124989500,1],
 
13481
[1125011100,1],
 
13482
[1125032700,1],
 
13483
[1125054300,1],
 
13484
[1125075900,1],
 
13485
[1125097500,1],
 
13486
[1125119100,1],
 
13487
[1125140700,1],
 
13488
[1125162300,1],
 
13489
[1125183900,1],
 
13490
[1125205500,1],
 
13491
[1125227100,1],
 
13492
[1125248700,1],
 
13493
[1125270300,1],
 
13494
[1125291900,1],
 
13495
[1125313500,1],
 
13496
[1125335100,1],
 
13497
[1125356700,1],
 
13498
[1125399900,1],
 
13499
[1125421500,1],
 
13500
[1125443100,1],
 
13501
[1125464700,1],
 
13502
[1125486300,1],
 
13503
[1125507900,1],
 
13504
[1125529500,1],
 
13505
[1125551100,1],
 
13506
[1125572700,1],
 
13507
[1125594300,1],
 
13508
[1125615900,1],
 
13509
[1125637500,1],
 
13510
[1125659100,1],
 
13511
[1125680700,1],
 
13512
[1125702300,1],
 
13513
[1127529600,1],
 
13514
[1127538600,1],
 
13515
[1127559900,1],
 
13516
[1127581500,1],
 
13517
[1127603100,1],
 
13518
[1127624700,1],
 
13519
[1127646300,1],
 
13520
[1127667900,1],
 
13521
[1127689500,1],
 
13522
[1127711100,1],
 
13523
[1127732700,1],
 
13524
[1127754300,1],
 
13525
[1127775900,1],
 
13526
[1127797500,1],
 
13527
[1127819100,1],
 
13528
[1127840700,1],
 
13529
[1127862300,1],
 
13530
[1127883900,1],
 
13531
[1127905500,1],
 
13532
[1127928300,1],
 
13533
[1127949300,1],
 
13534
[1127991900,1],
 
13535
[1128013500,1],
 
13536
[1128035100,1],
 
13537
[1128056700,1],
 
13538
[1128078300,1],
 
13539
[1128099900,1],
 
13540
[1128121500,1],
 
13541
[1128143100,1],
 
13542
[1128164700,1],
 
13543
[1128186300,1],
 
13544
[1128207900,1],
 
13545
[1128229500,1],
 
13546
[1128251100,1],
 
13547
[1128272700,1],
 
13548
[1128294300,1],
 
13549
[1128315900,1],
 
13550
[1128337500,1],
 
13551
[1128359100,1],
 
13552
[1128380700,1],
 
13553
[1128402300,1],
 
13554
[1128423900,1],
 
13555
[1128445500,1],
 
13556
[1128467100,1],
 
13557
[1128488700,1],
 
13558
[1128510300,1],
 
13559
[1128531900,1],
 
13560
[1128553500,1],
 
13561
[1128575100,1],
 
13562
[1128596700,1],
 
13563
[1128618300,1],
 
13564
[1128639900,1],
 
13565
[1128661500,1],
 
13566
[1128683100,1],
 
13567
[1128726300,1],
 
13568
[1128747900,1],
 
13569
[1128769500,1],
 
13570
[1128791100,1],
 
13571
[1128812700,1],
 
13572
[1128834300,1],
 
13573
[1128855900,1],
 
13574
[1128877500,1],
 
13575
[1128899100,1],
 
13576
[1128920700,1],
 
13577
[1128942300,1],
 
13578
[1128963900,1],
 
13579
[1128985500,1],
 
13580
[1129007100,1],
 
13581
[1129028700,1],
 
13582
[1129050300,1],
 
13583
[1129071900,1],
 
13584
[1129093500,1],
 
13585
[1129115100,1],
 
13586
[1129136700,1],
 
13587
[1129158300,1],
 
13588
[1129179900,1],
 
13589
[1129201500,1],
 
13590
[1129223100,1],
 
13591
[1129244700,1],
 
13592
[1129266300,1],
 
13593
[1129287900,1],
 
13594
[1129309500,1],
 
13595
[1129331100,1],
 
13596
[1129352700,1],
 
13597
[1129374300,1],
 
13598
[1129395900,1],
 
13599
[1129417500,1],
 
13600
[1129439100,1],
 
13601
[1129460700,1],
 
13602
[1129482300,1],
 
13603
[1129503900,1],
 
13604
[1129525500,1],
 
13605
[1129547100,1],
 
13606
[1129568700,1],
 
13607
[1129590300,1],
 
13608
[1129611900,1],
 
13609
[1129633500,1],
 
13610
[1129655100,1],
 
13611
[1129676700,1],
 
13612
[1129698300,1],
 
13613
[1129719900,1],
 
13614
[1129741500,1],
 
13615
[1129768800,1],
 
13616
[1129772700,1],
 
13617
[1129774200,1],
 
13618
[1129774800,1],
 
13619
[1129775700,1],
 
13620
[1129777800,1],
 
13621
[1129778400,1],
 
13622
[1129779300,1],
 
13623
[1129780200,1],
 
13624
[1129780800,1],
 
13625
[1129781400,1],
 
13626
[1129782300,1],
 
13627
[1129782900,1],
 
13628
[1129783800,1],
 
13629
[1129786500,1],
 
13630
[1129787100,1],
 
13631
[1129788000,1],
 
13632
[1129788600,1],
 
13633
[1129789500,1],
 
13634
[1129790100,1],
 
13635
[1129791000,1],
 
13636
[1129791600,1],
 
13637
[1129792500,1],
 
13638
[1129793100,1],
 
13639
[1129793700,1],
 
13640
[1129794600,1],
 
13641
[1129795500,1],
 
13642
[1129796100,1],
 
13643
[1129796700,1],
 
13644
[1129797600,1],
 
13645
[1129798500,1],
 
13646
[1129799100,1],
 
13647
[1129799700,1],
 
13648
[1129800600,1],
 
13649
[1129801200,1],
 
13650
[1129802100,1],
 
13651
[1129802700,1],
 
13652
[1129803600,1],
 
13653
[1129804200,1],
 
13654
[1129805100,1],
 
13655
[1129805700,1],
 
13656
[1129808100,1],
 
13657
[1129808700,1],
 
13658
[1129809600,1],
 
13659
[1129810200,1],
 
13660
[1129811100,1],
 
13661
[1129811700,1],
 
13662
[1129812600,1],
 
13663
[1129813200,1],
 
13664
[1129814100,1],
 
13665
[1129814700,1],
 
13666
[1129815600,1],
 
13667
[1129816200,1],
 
13668
[1129817100,1],
 
13669
[1129818000,1],
 
13670
[1129818600,1],
 
13671
[1129819500,1],
 
13672
[1129820100,1],
 
13673
[1129821000,1],
 
13674
[1129821600,1],
 
13675
[1129822500,1],
 
13676
[1129823100,1],
 
13677
[1129824000,1],
 
13678
[1129824600,1],
 
13679
[1129825200,1],
 
13680
[1129829400,1],
 
13681
[1129830600,1],
 
13682
[1129831200,1],
 
13683
[1129832100,1],
 
13684
[1129832700,1],
 
13685
[1129833300,1],
 
13686
[1129834200,1],
 
13687
[1129835100,1],
 
13688
[1129835700,1],
 
13689
[1129836300,1],
 
13690
[1129837200,1],
 
13691
[1129837800,1],
 
13692
[1129838700,1],
 
13693
[1129839300,1],
 
13694
[1129840200,1],
 
13695
[1129840800,1],
 
13696
[1129841700,1],
 
13697
[1129842300,1],
 
13698
[1129843200,1],
 
13699
[1129843800,1],
 
13700
[1129844400,1],
 
13701
[1129845300,1],
 
13702
[1129846200,1],
 
13703
[1129846800,1],
 
13704
[1129847400,1],
 
13705
[1129848300,1],
 
13706
[1129848900,1],
 
13707
[1129850100,1],
 
13708
[1129851600,1],
 
13709
[1129852500,1],
 
13710
[1129853100,1],
 
13711
[1129853700,1],
 
13712
[1129854600,1],
 
13713
[1129855200,1],
 
13714
[1129856100,1],
 
13715
[1129857900,1],
 
13716
[1129858800,1],
 
13717
[1129859400,1],
 
13718
[1129860300,1],
 
13719
[1129860900,1],
 
13720
[1129861500,1],
 
13721
[1129862400,1],
 
13722
[1129863000,1],
 
13723
[1129865100,1],
 
13724
[1129865700,1],
 
13725
[1129866600,1],
 
13726
[1129867200,1],
 
13727
[1129868100,1],
 
13728
[1129868700,1],
 
13729
[1129869600,1],
 
13730
[1129870200,1],
 
13731
[1129871100,1],
 
13732
[1129872000,1],
 
13733
[1129873500,1],
 
13734
[1129874400,1],
 
13735
[1129875000,1],
 
13736
[1129875900,1],
 
13737
[1129876500,1],
 
13738
[1129877100,1],
 
13739
[1129878000,1],
 
13740
[1129878900,1],
 
13741
[1129879500,1],
 
13742
[1129880100,1],
 
13743
[1129881000,1],
 
13744
[1129881900,1],
 
13745
[1129882500,1],
 
13746
[1129883100,1],
 
13747
[1129884000,1],
 
13748
[1129884600,1],
 
13749
[1129885500,1],
 
13750
[1129886100,1],
 
13751
[1129887000,1],
 
13752
[1129887600,1],
 
13753
[1129888500,1],
 
13754
[1129889100,1],
 
13755
[1129890000,1],
 
13756
[1129890600,1],
 
13757
[1129891200,1],
 
13758
[1129892100,1],
 
13759
[1129893000,1],
 
13760
[1129893900,1],
 
13761
[1129895400,1],
 
13762
[1129896300,1],
 
13763
[1129896900,1],
 
13764
[1129897800,1],
 
13765
[1129898400,1],
 
13766
[1129899000,1],
 
13767
[1129899900,1],
 
13768
[1129900500,1],
 
13769
[1129902000,1],
 
13770
[1129902900,1],
 
13771
[1129903500,1],
 
13772
[1129904400,1],
 
13773
[1129905300,1],
 
13774
[1129905900,1],
 
13775
[1129906800,1],
 
13776
[1129907400,1],
 
13777
[1129908300,1],
 
13778
[1129908900,1],
 
13779
[1129909800,1],
 
13780
[1129910400,1],
 
13781
[1129911300,1],
 
13782
[1129911900,1],
 
13783
[1129912800,1],
 
13784
[1129913400,1],
 
13785
[1129914000,1],
 
13786
[1129914900,1],
 
13787
[1129916100,1],
 
13788
[1129917300,1],
 
13789
[1129918200,1],
 
13790
[1129919100,1],
 
13791
[1129919700,1],
 
13792
[1129920600,1],
 
13793
[1129921200,1],
 
13794
[1129922100,1],
 
13795
[1129922700,1],
 
13796
[1129923300,1],
 
13797
[1129924200,1],
 
13798
[1129924800,1],
 
13799
[1129925700,1],
 
13800
[1129926300,1],
 
13801
[1129927200,1],
 
13802
[1129927800,1],
 
13803
[1129928700,1],
 
13804
[1129929300,1],
 
13805
[1129930200,1],
 
13806
[1129930800,1],
 
13807
[1129931700,1],
 
13808
[1129932300,1],
 
13809
[1129933200,1],
 
13810
[1129933800,1],
 
13811
[1129934400,1],
 
13812
[1129935300,1],
 
13813
[1129935900,1],
 
13814
[1129936800,1],
 
13815
[1129938000,1],
 
13816
[1129939200,1],
 
13817
[1129940100,1],
 
13818
[1129941000,1],
 
13819
[1129941600,1],
 
13820
[1129942200,1],
 
13821
[1129943100,1],
 
13822
[1129943700,1],
 
13823
[1129945500,1],
 
13824
[1129946100,1],
 
13825
[1129946700,1],
 
13826
[1129947600,1],
 
13827
[1129948500,1],
 
13828
[1129949100,1],
 
13829
[1129949700,1],
 
13830
[1129950600,1],
 
13831
[1129952400,1],
 
13832
[1129953000,1],
 
13833
[1129953900,1],
 
13834
[1129954500,1],
 
13835
[1129955400,1],
 
13836
[1129956000,1],
 
13837
[1129956600,1],
 
13838
[1129957500,1],
 
13839
[1129958400,1],
 
13840
[1129959300,1],
 
13841
[1129979100,1],
 
13842
[1130000700,1],
 
13843
[1130022300,1],
 
13844
[1130043900,1],
 
13845
[1130065500,1],
 
13846
[1130087100,1],
 
13847
[1130108700,1],
 
13848
[1130130300,1],
 
13849
[1130151900,1],
 
13850
[1130173500,1],
 
13851
[1130195100,1],
 
13852
[1130238300,1],
 
13853
[1130259900,1],
 
13854
[1130281500,1],
 
13855
[1130303100,1],
 
13856
[1130324700,1],
 
13857
[1130346300,1],
 
13858
[1130389500,1],
 
13859
[1130411100,1],
 
13860
[1130432700,1],
 
13861
[1130454300,1],
 
13862
[1130475900,1],
 
13863
[1130497500,1],
 
13864
[1130519100,1],
 
13865
[1130540700,1],
 
13866
[1130562300,1],
 
13867
[1130583900,1],
 
13868
[1130605500,1],
 
13869
[1130627100,1],
 
13870
[1130652300,1],
 
13871
[1130673900,1],
 
13872
[1130695500,1],
 
13873
[1130717100,1],
 
13874
[1130738700,1],
 
13875
[1130760300,1],
 
13876
[1130781900,1],
 
13877
[1130803500,1],
 
13878
[1130825100,1],
 
13879
[1130846700,1],
 
13880
[1130872500,1],
 
13881
[1130889900,1],
 
13882
[1130911500,1],
 
13883
[1130933100,1],
 
13884
[1130954700,1],
 
13885
[1130976300,1],
 
13886
[1130997900,1],
 
13887
[1131019500,1],
 
13888
[1131041100,1],
 
13889
[1131062700,1],
 
13890
[1131084300,1],
 
13891
[1131127500,1],
 
13892
[1131149100,1],
 
13893
[1131170700,1],
 
13894
[1131192300,1],
 
13895
[1131213900,1],
 
13896
[1131235500,1],
 
13897
[1131257100,1],
 
13898
[1131278700,1],
 
13899
[1131300300,1],
 
13900
[1131321900,1],
 
13901
[1131343500,1],
 
13902
[1131365100,1],
 
13903
[1131386700,1],
 
13904
[1131408300,1],
 
13905
[1131429900,1],
 
13906
[1131451500,1],
 
13907
[1131473100,1],
 
13908
[1131494700,1],
 
13909
[1131516300,1],
 
13910
[1131537900,1],
 
13911
[1131559500,1],
 
13912
[1131581100,1],
 
13913
[1131602700,1],
 
13914
[1131624300,1],
 
13915
[1131645900,1],
 
13916
[1131667500,1],
 
13917
[1131689100,1],
 
13918
[1131710700,1],
 
13919
[1131732300,1],
 
13920
[1131753900,1],
 
13921
[1131775500,1],
 
13922
[1131797100,1],
 
13923
[1131818700,1],
 
13924
[1131840300,1],
 
13925
[1131861900,1],
 
13926
[1131883500,1],
 
13927
[1131905100,1],
 
13928
[1131926700,1],
 
13929
[1131948300,1],
 
13930
[1131969900,1],
 
13931
[1131991500,1],
 
13932
[1132013100,1],
 
13933
[1132034700,1],
 
13934
[1132056300,1],
 
13935
[1132077900,1],
 
13936
[1132099500,1],
 
13937
[1132121100,1],
 
13938
[1132142700,1],
 
13939
[1132164300,1],
 
13940
[1132185900,1],
 
13941
[1132207500,1],
 
13942
[1132229100,1],
 
13943
[1132250700,1],
 
13944
[1132272300,1],
 
13945
[1132293900,1],
 
13946
[1132315500,1],
 
13947
[1132337100,1],
 
13948
[1132358700,1],
 
13949
[1132380300,1],
 
13950
[1132401900,1],
 
13951
[1132423500,1],
 
13952
[1132445100,1],
 
13953
[1132466700,1],
 
13954
[1132488300,1],
 
13955
[1132509900,1],
 
13956
[1132531500,1],
 
13957
[1132553100,1],
 
13958
[1132574700,1],
 
13959
[1132596300,1],
 
13960
[1132617900,1],
 
13961
[1132639500,1],
 
13962
[1132661100,1],
 
13963
[1132682700,1],
 
13964
[1132769100,1],
 
13965
[1132790700,1],
 
13966
[1132812300,1],
 
13967
[1132833900,1],
 
13968
[1132855500,1],
 
13969
[1132877100,1],
 
13970
[1132898700,1],
 
13971
[1132920300,1],
 
13972
[1132941900,1],
 
13973
[1132963500,1],
 
13974
[1132985100,1],
 
13975
[1133006700,1],
 
13976
[1133028300,1],
 
13977
[1133049900,1],
 
13978
[1133071500,1],
 
13979
[1133093100,1],
 
13980
[1133114700,1],
 
13981
[1133136300,1],
 
13982
[1133157900,1],
 
13983
[1133179500,1],
 
13984
[1133201100,1],
 
13985
[1133222700,1],
 
13986
[1133244300,1],
 
13987
[1133265900,1],
 
13988
[1133287500,1],
 
13989
[1133309100,1],
 
13990
[1133330700,1],
 
13991
[1133352300,1],
 
13992
[1133373900,1],
 
13993
[1133395500,1],
 
13994
[1133417100,1],
 
13995
[1133438700,1],
 
13996
[1133460300,1],
 
13997
[1133481900,1],
 
13998
[1133503500,1],
 
13999
[1133525100,1],
 
14000
[1133546700,1],
 
14001
[1134955200,1],
 
14002
[1134972300,1],
 
14003
[1134993900,1],
 
14004
[1135015500,1],
 
14005
[1135037100,1],
 
14006
[1135058700,1],
 
14007
[1135080300,1],
 
14008
[1135101900,1],
 
14009
[1135123800,1],
 
14010
[1135145100,1],
 
14011
[1135166700,1],
 
14012
[1135188300,1],
 
14013
[1135209900,1],
 
14014
[1135231500,1],
 
14015
[1135253100,1],
 
14016
[1135274700,1],
 
14017
[1135296300,1],
 
14018
[1135317900,1],
 
14019
[1135339500,1],
 
14020
[1135361100,1],
 
14021
[1135382700,1],
 
14022
[1135409100,1],
 
14023
[1135426800,1],
 
14024
[1135447500,1],
 
14025
[1135469100,1],
 
14026
[1135490700,1],
 
14027
[1135512300,1],
 
14028
[1135533900,1],
 
14029
[1135555500,1],
 
14030
[1135577100,1],
 
14031
[1135598700,1],
 
14032
[1135620300,1],
 
14033
[1135641900,1],
 
14034
[1135663500,1],
 
14035
[1135685100,1],
 
14036
[1135706700,1],
 
14037
[1135728300,1],
 
14038
[1135749900,1],
 
14039
[1135771500,1],
 
14040
[1135793100,1],
 
14041
[1135814700,1],
 
14042
[1135836300,1],
 
14043
[1135857900,1],
 
14044
[1135879500,1],
 
14045
[1135901100,1],
 
14046
[1135922700,1],
 
14047
[1135944300,1],
 
14048
[1135965900,1],
 
14049
[1135987500,1],
 
14050
[1136009100,1],
 
14051
[1136030700,1],
 
14052
[1136052300,1],
 
14053
[1136073900,1],
 
14054
[1136095500,1],
 
14055
[1136117100,1],
 
14056
[1136138700,1],
 
14057
[1136160300,1],
 
14058
[1136181900,1],
 
14059
[1136203500,1],
 
14060
[1136225100,1],
 
14061
[1136246700,1],
 
14062
[1136268300,1],
 
14063
[1136289900,1],
 
14064
[1136311500,1],
 
14065
[1136333100,1],
 
14066
[1136354700,1],
 
14067
[1136376300,1],
 
14068
[1136397900,1],
 
14069
[1136419500,1],
 
14070
[1136441100,1],
 
14071
[1136462700,1],
 
14072
[1136484300,1],
 
14073
[1136505900,1],
 
14074
[1136527500,1],
 
14075
[1136549100,1],
 
14076
[1136570700,1],
 
14077
[1136592300,1],
 
14078
[1136613900,1],
 
14079
[1136635500,1],
 
14080
[1136657100,1],
 
14081
[1136678700,1],
 
14082
[1136700300,1],
 
14083
[1136721900,1],
 
14084
[1136743500,1],
 
14085
[1136765100,1],
 
14086
[1136786700,1],
 
14087
[1136808300,1],
 
14088
[1136829900,1],
 
14089
[1136851500,1],
 
14090
[1136873100,1],
 
14091
[1136894700,1],
 
14092
[1136916300,1],
 
14093
[1136937900,1],
 
14094
[1136959500,1],
 
14095
[1136981100,1],
 
14096
[1137002700,1],
 
14097
[1137024300,1],
 
14098
[1137045900,1],
 
14099
[1137067500,1],
 
14100
[1137089100,1],
 
14101
[1137110700,1],
 
14102
[1137132300,1],
 
14103
[1137153900,1],
 
14104
[1137175500,1],
 
14105
[1137197100,1],
 
14106
[1137218700,1],
 
14107
[1137240300,1],
 
14108
[1137261900,1],
 
14109
[1137283500,1],
 
14110
[1137305100,1],
 
14111
[1137326700,1],
 
14112
[1137348300,1],
 
14113
[1137369900,1],
 
14114
[1137467100,1],
 
14115
[1137477900,1],
 
14116
[1137499500,1],
 
14117
[1137542700,1],
 
14118
[1137564300,1],
 
14119
[1137629100,1],
 
14120
[1137650700,1],
 
14121
[1137672300,1],
 
14122
[1137693900,1],
 
14123
[1137737100,1],
 
14124
[1137758700,1],
 
14125
[1137780300,1],
 
14126
[1137801900,1],
 
14127
[1137823500,1],
 
14128
[1137845100,1],
 
14129
[1137866700,1],
 
14130
[1137888300,1],
 
14131
[1137909900,1],
 
14132
[1137931500,1],
 
14133
[1137953100,1],
 
14134
[1137974700,1],
 
14135
[1137996300,1],
 
14136
[1138017900,1],
 
14137
[1138040100,1],
 
14138
[1138061100,1],
 
14139
[1138082700,1],
 
14140
[1138104300,1],
 
14141
[1138125900,1],
 
14142
[1138147500,1],
 
14143
[1138169100,1],
 
14144
[1138190700,1],
 
14145
[1138255500,1],
 
14146
[1138277100,1],
 
14147
[1138298700,1],
 
14148
[1138320300,1],
 
14149
[1138341900,1],
 
14150
[1138363500,1],
 
14151
[1138388700,1],
 
14152
[1138406700,1],
 
14153
[1138428300,1],
 
14154
[1138449900,1],
 
14155
[1138471500,1],
 
14156
[1138493100,1],
 
14157
[1138514700,1],
 
14158
[1138536300,1],
 
14159
[1138557900,1],
 
14160
[1138579500,1],
 
14161
[1138601100,1],
 
14162
[1138622700,1],
 
14163
[1138644300,1],
 
14164
[1138665900,1],
 
14165
[1138687500,1],
 
14166
[1138709100,1],
 
14167
[1138730700,1],
 
14168
[1138746900,1],
 
14169
[1138748700,1],
 
14170
[1138750500,1],
 
14171
[1138752300,1],
 
14172
[1138773900,1],
 
14173
[1138795500,1],
 
14174
[1138817100,1],
 
14175
[1138838700,1],
 
14176
[1138860300,1],
 
14177
[1138881900,1],
 
14178
[1138903500,1],
 
14179
[1138925100,1],
 
14180
[1138946700,1],
 
14181
[1138968300,1],
 
14182
[1138989900,1],
 
14183
[1139011500,1],
 
14184
[1139033100,1],
 
14185
[1139054700,1],
 
14186
[1139076300,1],
 
14187
[1139097900,1],
 
14188
[1139119500,1],
 
14189
[1139141100,1],
 
14190
[1139162700,1],
 
14191
[1139184300,1],
 
14192
[1139227500,1],
 
14193
[1139249100,1],
 
14194
[1139270700,1],
 
14195
[1139292300,1],
 
14196
[1139313900,1],
 
14197
[1139335500,1],
 
14198
[1139357100,1],
 
14199
[1139378700,1],
 
14200
[1139400300,1],
 
14201
[1139421900,1],
 
14202
[1139439600,1],
 
14203
[1139441100,1],
 
14204
[1139443500,1],
 
14205
[1139465100,1],
 
14206
[1139486700,1],
 
14207
[1139508300,1],
 
14208
[1139529900,1],
 
14209
[1139594700,1],
 
14210
[1139616300,1],
 
14211
[1139637900,1],
 
14212
[1139659500,1],
 
14213
[1139681100,1],
 
14214
[1139702700,1],
 
14215
[1139724300,1],
 
14216
[1139745900,1],
 
14217
[1139767500,1],
 
14218
[1139789100,1],
 
14219
[1139810700,1],
 
14220
[1139832300,1],
 
14221
[1139853900,1],
 
14222
[1139875500,1],
 
14223
[1139897100,1],
 
14224
[1139918700,1],
 
14225
[1139940300,1],
 
14226
[1139961900,1],
 
14227
[1139983500,1],
 
14228
[1140005100,1],
 
14229
[1140026700,1],
 
14230
[1140048300,1],
 
14231
[1140069900,1],
 
14232
[1140091500,1],
 
14233
[1140113100,1],
 
14234
[1140134700,1],
 
14235
[1140156300,1],
 
14236
[1140177900,1],
 
14237
[1140199500,1],
 
14238
[1140219900,1],
 
14239
[1140221400,1],
 
14240
[1140242700,1],
 
14241
[1140264300,1],
 
14242
[1140285900,1],
 
14243
[1140307500,1],
 
14244
[1140329100,1],
 
14245
[1140350700,1],
 
14246
[1140372300,1],
 
14247
[1140393900,1],
 
14248
[1140415500,1],
 
14249
[1140437100,1],
 
14250
[1140458700,1],
 
14251
[1140480300,1],
 
14252
[1140501900,1],
 
14253
[1140523500,1],
 
14254
[1140545100,1],
 
14255
[1140566700,1],
 
14256
[1140588300,1],
 
14257
[1140609900,1],
 
14258
[1140631500,1],
 
14259
[1140653100,1],
 
14260
[1140674700,1],
 
14261
[1140696300,1],
 
14262
[1140717900,1],
 
14263
[1140739500,1],
 
14264
[1140782700,1],
 
14265
[1140804300,1],
 
14266
[1140825900,1],
 
14267
[1140847500,1],
 
14268
[1140890700,1],
 
14269
[1140912300,1],
 
14270
[1140933900,1],
 
14271
[1140955500,1],
 
14272
[1140977100,1],
 
14273
[1140998700,1],
 
14274
[1141020300,1],
 
14275
[1141041900,1],
 
14276
[1141063500,1],
 
14277
[1141085100,1],
 
14278
[1141107600,1],
 
14279
[1142731200,1],
 
14280
[1142748300,1],
 
14281
[1142769900,1],
 
14282
[1142791500,1],
 
14283
[1142813100,1],
 
14284
[1142834700,1],
 
14285
[1142856300,1],
 
14286
[1142877900,1],
 
14287
[1142899500,1],
 
14288
[1142921100,1],
 
14289
[1142942700,1],
 
14290
[1142964300,1],
 
14291
[1142985900,1],
 
14292
[1143007500,1],
 
14293
[1143029100,1],
 
14294
[1143050700,1],
 
14295
[1143072300,1],
 
14296
[1143093900,1],
 
14297
[1143115500,1],
 
14298
[1143137100,1],
 
14299
[1143158700,1],
 
14300
[1143180300,1],
 
14301
[1143201900,1],
 
14302
[1143223500,1],
 
14303
[1143245100,1],
 
14304
[1143266700,1],
 
14305
[1143288300,1],
 
14306
[1143309900,1],
 
14307
[1143331500,1],
 
14308
[1143353100,1],
 
14309
[1143374700,1],
 
14310
[1143396300,1],
 
14311
[1143417900,1],
 
14312
[1143439500,1],
 
14313
[1143461100,1],
 
14314
[1143482700,1],
 
14315
[1143504300,1],
 
14316
[1143525900,1],
 
14317
[1143547500,1],
 
14318
[1143569100,1],
 
14319
[1143590700,1],
 
14320
[1143612300,1],
 
14321
[1143633900,1],
 
14322
[1143640200,1],
 
14323
[1143648300,1],
 
14324
[1143662700,1],
 
14325
[1143677100,1],
 
14326
[1143698700,1],
 
14327
[1143720300,1],
 
14328
[1143741900,1],
 
14329
[1143763500,1],
 
14330
[1143785100,1],
 
14331
[1143806700,1],
 
14332
[1143828300,1],
 
14333
[1143849900,1],
 
14334
[1143871500,1],
 
14335
[1143893100,1],
 
14336
[1143914700,1],
 
14337
[1143936300,1],
 
14338
[1143957900,1],
 
14339
[1143975900,1],
 
14340
[1143997500,1],
 
14341
[1144019100,1],
 
14342
[1144040700,1],
 
14343
[1144062300,1],
 
14344
[1144083900,1],
 
14345
[1144105500,1],
 
14346
[1144127100,1],
 
14347
[1144148700,1],
 
14348
[1144170300,1],
 
14349
[1144191900,1],
 
14350
[1144213500,1],
 
14351
[1144235100,1],
 
14352
[1144256700,1],
 
14353
[1144278300,1],
 
14354
[1144299900,1],
 
14355
[1144321500,1],
 
14356
[1144343100,1],
 
14357
[1144364700,1],
 
14358
[1144386300,1],
 
14359
[1144407900,1],
 
14360
[1144429500,1],
 
14361
[1144451100,1],
 
14362
[1144472700,1],
 
14363
[1144494300,1],
 
14364
[1144515900,1],
 
14365
[1144537500,1],
 
14366
[1144559100,1],
 
14367
[1144580700,1],
 
14368
[1144602300,1],
 
14369
[1144623900,1],
 
14370
[1144645500,1],
 
14371
[1144667100,1],
 
14372
[1144688700,1],
 
14373
[1144710300,1],
 
14374
[1144731900,1],
 
14375
[1144753500,1],
 
14376
[1144775100,1],
 
14377
[1144796700,1],
 
14378
[1144818300,1],
 
14379
[1144839900,1],
 
14380
[1144861500,1],
 
14381
[1144878900,1],
 
14382
[1144904700,1],
 
14383
[1144926300,1],
 
14384
[1144947900,1],
 
14385
[1144969500,1],
 
14386
[1144991100,1],
 
14387
[1145012700,1],
 
14388
[1145034300,1],
 
14389
[1145055900,1],
 
14390
[1145077500,1],
 
14391
[1145099100,1],
 
14392
[1145120700,1],
 
14393
[1145142300,1],
 
14394
[1145168700,1],
 
14395
[1145198100,1],
 
14396
[1145228700,1],
 
14397
[1145250300,1],
 
14398
[1145271900,1],
 
14399
[1145293500,1],
 
14400
[1145315100,1],
 
14401
[1145336700,1],
 
14402
[1145358300,1],
 
14403
[1145381700,1],
 
14404
[1145401500,1],
 
14405
[1145423100,1],
 
14406
[1145444700,1],
 
14407
[1145466300,1],
 
14408
[1145509500,1],
 
14409
[1145531100,1],
 
14410
[1145552700,1],
 
14411
[1145574300,1],
 
14412
[1145595900,1],
 
14413
[1145617500,1],
 
14414
[1145640000,1],
 
14415
[1145660700,1],
 
14416
[1145682300,1],
 
14417
[1145703900,1],
 
14418
[1145725500,1],
 
14419
[1145747100,1],
 
14420
[1145768700,1],
 
14421
[1145790300,1],
 
14422
[1145811900,1],
 
14423
[1145833500,1],
 
14424
[1145855100,1],
 
14425
[1145876700,1],
 
14426
[1145898300,1],
 
14427
[1145919900,1],
 
14428
[1145941500,1],
 
14429
[1145963100,1],
 
14430
[1145984700,1],
 
14431
[1146006300,1],
 
14432
[1146027900,1],
 
14433
[1146049500,1],
 
14434
[1146071100,1],
 
14435
[1146092700,1],
 
14436
[1146114300,1],
 
14437
[1146135900,1],
 
14438
[1146157500,1],
 
14439
[1146179100,1],
 
14440
[1146200700,1],
 
14441
[1146222300,1],
 
14442
[1146243900,1],
 
14443
[1146265500,1],
 
14444
[1146287100,1],
 
14445
[1146308700,1],
 
14446
[1146330300,1],
 
14447
[1146351900,1],
 
14448
[1146373500,1],
 
14449
[1146395100,1],
 
14450
[1146416700,1],
 
14451
[1146438300,1],
 
14452
[1146459900,1],
 
14453
[1146481500,1],
 
14454
[1146503100,1],
 
14455
[1146524700,1],
 
14456
[1146546300,1],
 
14457
[1146567900,1],
 
14458
[1146589500,1],
 
14459
[1146611100,1],
 
14460
[1146632700,1],
 
14461
[1146654300,1],
 
14462
[1146675900,1],
 
14463
[1146697500,1],
 
14464
[1146719100,1],
 
14465
[1146740700,1],
 
14466
[1146762300,1],
 
14467
[1146783900,1],
 
14468
[1146805500,1],
 
14469
[1146827100,1],
 
14470
[1146848700,1],
 
14471
[1146870300,1],
 
14472
[1146891900,1],
 
14473
[1146913500,1],
 
14474
[1146935100,1],
 
14475
[1146956700,1],
 
14476
[1146978300,1],
 
14477
[1146999900,1],
 
14478
[1147021500,1],
 
14479
[1147043100,1],
 
14480
[1147064700,1],
 
14481
[1147086300,1],
 
14482
[1147107900,1],
 
14483
[1147129500,1],
 
14484
[1147151100,1],
 
14485
[1147172700,1],
 
14486
[1147194300,1],
 
14487
[1147215900,1],
 
14488
[1147237500,1],
 
14489
[1147259100,1],
 
14490
[1147280700,1],
 
14491
[1147302300,1],
 
14492
[1147323900,1],
 
14493
[1147345500,1],
 
14494
[1147367100,1],
 
14495
[1147388700,1],
 
14496
[1147410300,1],
 
14497
[1147431900,1],
 
14498
[1147453500,1],
 
14499
[1147499400,1],
 
14500
[1147503000,1],
 
14501
[1147520700,1],
 
14502
[1147540800,1],
 
14503
[1147561500,1],
 
14504
[1147583100,1],
 
14505
[1147604700,1],
 
14506
[1147626300,1],
 
14507
[1147647900,1],
 
14508
[1147669500,1],
 
14509
[1147691100,1],
 
14510
[1147712700,1],
 
14511
[1147734300,1],
 
14512
[1147755900,1],
 
14513
[1147777500,1],
 
14514
[1147799100,1],
 
14515
[1147820700,1],
 
14516
[1147842300,1],
 
14517
[1147863900,1],
 
14518
[1147885500,1],
 
14519
[1147907100,1],
 
14520
[1147928700,1],
 
14521
[1147950300,1],
 
14522
[1147971900,1],
 
14523
[1147993500,1],
 
14524
[1148015100,1],
 
14525
[1148036700,1],
 
14526
[1148058300,1],
 
14527
[1148079900,1],
 
14528
[1148123100,1],
 
14529
[1148144700,1],
 
14530
[1148166300,1],
 
14531
[1148187900,1],
 
14532
[1148209500,1],
 
14533
[1148231100,1],
 
14534
[1148252700,1],
 
14535
[1148274300,1],
 
14536
[1148295900,1],
 
14537
[1148317500,1],
 
14538
[1148339100,1],
 
14539
[1148360700,1],
 
14540
[1148382300,1],
 
14541
[1148403900,1],
 
14542
[1148425500,1],
 
14543
[1148447100,1],
 
14544
[1148468700,1],
 
14545
[1148490300,1],
 
14546
[1148511900,1],
 
14547
[1148533500,1],
 
14548
[1148555100,1],
 
14549
[1148576700,1],
 
14550
[1148598300,1],
 
14551
[1148619900,1],
 
14552
[1148641500,1],
 
14553
[1148663100,1],
 
14554
[1148684700,1],
 
14555
[1150427700,1],
 
14556
[1150434300,1],
 
14557
[1150455900,1],
 
14558
[1150477500,1],
 
14559
[1150499100,1],
 
14560
[1150520700,1],
 
14561
[1150542300,1],
 
14562
[1150563900,1],
 
14563
[1150585500,1],
 
14564
[1150607100,1],
 
14565
[1150628700,1],
 
14566
[1150650300,1],
 
14567
[1150671900,1],
 
14568
[1150693500,1],
 
14569
[1150715100,1],
 
14570
[1150736700,1],
 
14571
[1150758300,1],
 
14572
[1150779900,1],
 
14573
[1150801500,1],
 
14574
[1150823100,1],
 
14575
[1150844700,1],
 
14576
[1150866300,1],
 
14577
[1150887900,1],
 
14578
[1150909500,1],
 
14579
[1150931100,1],
 
14580
[1150952700,1],
 
14581
[1150974300,1],
 
14582
[1150995900,1],
 
14583
[1151017500,1],
 
14584
[1151039100,1],
 
14585
[1151060700,1],
 
14586
[1151082300,1],
 
14587
[1151103900,1],
 
14588
[1151125500,1],
 
14589
[1151147100,1],
 
14590
[1151168700,1],
 
14591
[1151190300,1],
 
14592
[1151211900,1],
 
14593
[1151233500,1],
 
14594
[1151255100,1],
 
14595
[1151276700,1],
 
14596
[1151298300,1],
 
14597
[1151319900,1],
 
14598
[1151341500,1],
 
14599
[1151363100,1],
 
14600
[1151406300,1],
 
14601
[1151427900,1],
 
14602
[1151456400,1],
 
14603
[1151492700,1],
 
14604
[1151514300,1],
 
14605
[1151536800,1],
 
14606
[1151557500,1],
 
14607
[1151579100,1],
 
14608
[1151600700,1],
 
14609
[1151622300,1],
 
14610
[1151643900,1],
 
14611
[1151665500,1],
 
14612
[1151687100,1],
 
14613
[1151708700,1],
 
14614
[1151730300,1],
 
14615
[1151751900,1],
 
14616
[1151773500,1],
 
14617
[1151795100,1],
 
14618
[1151816700,1],
 
14619
[1151838300,1],
 
14620
[1151859900,1],
 
14621
[1151881500,1],
 
14622
[1151903100,1],
 
14623
[1151924700,1],
 
14624
[1151946300,1],
 
14625
[1151967900,1],
 
14626
[1151989500,1],
 
14627
[1152011100,1],
 
14628
[1152032700,1],
 
14629
[1152054300,1],
 
14630
[1152075900,1],
 
14631
[1152097500,1],
 
14632
[1152119100,1],
 
14633
[1152140700,1],
 
14634
[1152162300,1],
 
14635
[1152183900,1],
 
14636
[1152205500,1],
 
14637
[1152227100,1],
 
14638
[1152248700,1],
 
14639
[1152270300,1],
 
14640
[1152291900,1],
 
14641
[1152313500,1],
 
14642
[1152335100,1],
 
14643
[1152356700,1],
 
14644
[1152378300,1],
 
14645
[1152399900,1],
 
14646
[1152421500,1],
 
14647
[1152443100,1],
 
14648
[1152464700,1],
 
14649
[1152486300,1],
 
14650
[1152507900,1],
 
14651
[1152529500,1],
 
14652
[1152551100,1],
 
14653
[1152572700,1],
 
14654
[1152594300,1],
 
14655
[1152615900,1],
 
14656
[1152637500,1],
 
14657
[1152659100,1],
 
14658
[1152680700,1],
 
14659
[1152702300,1],
 
14660
[1152723900,1],
 
14661
[1152745500,1],
 
14662
[1152767100,1],
 
14663
[1152788700,1],
 
14664
[1152810300,1],
 
14665
[1152831900,1],
 
14666
[1152853500,1],
 
14667
[1152875100,1],
 
14668
[1152896700,1],
 
14669
[1152918300,1],
 
14670
[1152939900,1],
 
14671
[1152961500,1],
 
14672
[1152983100,1],
 
14673
[1153004700,1],
 
14674
[1153026300,1],
 
14675
[1153047900,1],
 
14676
[1153069500,1],
 
14677
[1153091100,1],
 
14678
[1153112700,1],
 
14679
[1153134300,1],
 
14680
[1153155900,1],
 
14681
[1153177500,1],
 
14682
[1153199100,1],
 
14683
[1153220700,1],
 
14684
[1153242300,1],
 
14685
[1153263900,1],
 
14686
[1153285500,1],
 
14687
[1153307100,1],
 
14688
[1153328700,1],
 
14689
[1153350300,1],
 
14690
[1153371900,1],
 
14691
[1153393500,1],
 
14692
[1153415100,1],
 
14693
[1153436700,1],
 
14694
[1153458300,1],
 
14695
[1153479900,1],
 
14696
[1153503300,1],
 
14697
[1153523100,1],
 
14698
[1153544700,1],
 
14699
[1153566300,1],
 
14700
[1153587900,1],
 
14701
[1153609500,1],
 
14702
[1153631100,1],
 
14703
[1153652700,1],
 
14704
[1153674300,1],
 
14705
[1153695900,1],
 
14706
[1153717500,1],
 
14707
[1153739100,1],
 
14708
[1153760700,1],
 
14709
[1153782300,1],
 
14710
[1153803900,1],
 
14711
[1153825500,1],
 
14712
[1153847100,1],
 
14713
[1153868700,1],
 
14714
[1153890300,1],
 
14715
[1153911900,1],
 
14716
[1153933500,1],
 
14717
[1153976700,1],
 
14718
[1153998300,1],
 
14719
[1154019900,1],
 
14720
[1154041500,1],
 
14721
[1154063100,1],
 
14722
[1154084700,1],
 
14723
[1154106300,1],
 
14724
[1154127900,1],
 
14725
[1154149500,1],
 
14726
[1154171100,1],
 
14727
[1154192700,1],
 
14728
[1154214300,1],
 
14729
[1154235900,1],
 
14730
[1154257500,1],
 
14731
[1154279100,1],
 
14732
[1154300700,1],
 
14733
[1154322300,1],
 
14734
[1154343900,1],
 
14735
[1154365500,1],
 
14736
[1154387100,1],
 
14737
[1154408700,1],
 
14738
[1154430300,1],
 
14739
[1154451900,1],
 
14740
[1154473500,1],
 
14741
[1154495100,1],
 
14742
[1154516700,1],
 
14743
[1154538300,1],
 
14744
[1154581500,1],
 
14745
[1154603400,1],
 
14746
[1154624700,1],
 
14747
[1154646300,1],
 
14748
[1154667900,1],
 
14749
[1154689500,1],
 
14750
[1154711100,1],
 
14751
[1154732700,1],
 
14752
[1154754300,1],
 
14753
[1154775900,1],
 
14754
[1154797500,1],
 
14755
[1154819100,1],
 
14756
[1154840700,1],
 
14757
[1154862600,1],
 
14758
[1154883900,1],
 
14759
[1154905500,1],
 
14760
[1154927100,1],
 
14761
[1154949000,1],
 
14762
[1154970300,1],
 
14763
[1154991900,1],
 
14764
[1155013500,1],
 
14765
[1155035400,1],
 
14766
[1155056700,1],
 
14767
[1155078300,1],
 
14768
[1155099900,1],
 
14769
[1155121800,1],
 
14770
[1155143100,1],
 
14771
[1155165600,1],
 
14772
[1155186300,1],
 
14773
[1155208200,1],
 
14774
[1155229500,1],
 
14775
[1155251100,1],
 
14776
[1155272700,1],
 
14777
[1155294600,1],
 
14778
[1155315900,1],
 
14779
[1155337500,1],
 
14780
[1155359100,1],
 
14781
[1155380700,1],
 
14782
[1155402300,1],
 
14783
[1155423900,1],
 
14784
[1155445500,1],
 
14785
[1155467100,1],
 
14786
[1155488700,1],
 
14787
[1155510300,1],
 
14788
[1155531900,1],
 
14789
[1155553800,1],
 
14790
[1155575100,1],
 
14791
[1155596700,1],
 
14792
[1155619500,1],
 
14793
[1155639900,1],
 
14794
[1155661500,1],
 
14795
[1155683100,1],
 
14796
[1155704700,1],
 
14797
[1155726300,1],
 
14798
[1155747900,1],
 
14799
[1155769500,1],
 
14800
[1155791100,1],
 
14801
[1155812700,1],
 
14802
[1155834300,1],
 
14803
[1155855900,1],
 
14804
[1155877800,1],
 
14805
[1155899100,1],
 
14806
[1155920700,1],
 
14807
[1155942300,1],
 
14808
[1158459000,1],
 
14809
[1158512700,1],
 
14810
[1158534300,1],
 
14811
[1158577500,1],
 
14812
[1158620700,1],
 
14813
[1158663900,1],
 
14814
[1158685500,1],
 
14815
[1158707100,1],
 
14816
[1158728700,1],
 
14817
[1158750300,1],
 
14818
[1158771900,1],
 
14819
[1158793500,1],
 
14820
[1158815100,1],
 
14821
[1158836700,1],
 
14822
[1158858300,1],
 
14823
[1158879900,1],
 
14824
[1158901500,1],
 
14825
[1158923100,1],
 
14826
[1158944700,1],
 
14827
[1158966300,1],
 
14828
[1158987900,1],
 
14829
[1159009500,1],
 
14830
[1159031100,1],
 
14831
[1159052700,1],
 
14832
[1159074300,1],
 
14833
[1159095900,1],
 
14834
[1159117500,1],
 
14835
[1159139100,1],
 
14836
[1159160700,1],
 
14837
[1159182300,1],
 
14838
[1159203900,1],
 
14839
[1159227000,1],
 
14840
[1159247100,1],
 
14841
[1159268700,1],
 
14842
[1159290300,1],
 
14843
[1159311900,1],
 
14844
[1159333500,1],
 
14845
[1159355100,1],
 
14846
[1159376700,1],
 
14847
[1159398300,1],
 
14848
[1159419900,1],
 
14849
[1159441500,1],
 
14850
[1159463100,1],
 
14851
[1159484700,1],
 
14852
[1159506300,1],
 
14853
[1159527900,1],
 
14854
[1159553100,1],
 
14855
[1159571100,1],
 
14856
[1159592700,1],
 
14857
[1159614300,1],
 
14858
[1159635900,1],
 
14859
[1159657500,1],
 
14860
[1159679100,1],
 
14861
[1159700700,1],
 
14862
[1159722300,1],
 
14863
[1159743900,1],
 
14864
[1159765500,1],
 
14865
[1159787100,1],
 
14866
[1159808700,1],
 
14867
[1159830300,1],
 
14868
[1159851900,1],
 
14869
[1159873500,1],
 
14870
[1159895100,1],
 
14871
[1159916700,1],
 
14872
[1159938300,1],
 
14873
[1159959900,1],
 
14874
[1159981500,1],
 
14875
[1160003100,1],
 
14876
[1160024700,1],
 
14877
[1160046300,1],
 
14878
[1160067900,1],
 
14879
[1160089500,1],
 
14880
[1160111100,1],
 
14881
[1160132700,1],
 
14882
[1160154300,1],
 
14883
[1160175900,1],
 
14884
[1160197500,1],
 
14885
[1160219100,1],
 
14886
[1160240700,1],
 
14887
[1160262300,1],
 
14888
[1160283900,1],
 
14889
[1160305500,1],
 
14890
[1160327100,1],
 
14891
[1160348700,1],
 
14892
[1160370300,1],
 
14893
[1160391900,1],
 
14894
[1160413500,1],
 
14895
[1160435100,1],
 
14896
[1160456700,1],
 
14897
[1160478300,1],
 
14898
[1160499900,1],
 
14899
[1160503500,1],
 
14900
[1160521500,1],
 
14901
[1160543100,1],
 
14902
[1160564700,1],
 
14903
[1160586300,1],
 
14904
[1160607900,1],
 
14905
[1160629500,1],
 
14906
[1160651100,1],
 
14907
[1160672700,1],
 
14908
[1160694300,1],
 
14909
[1160715900,1],
 
14910
[1160737500,1],
 
14911
[1160759100,1],
 
14912
[1160780700,1],
 
14913
[1160802300,1],
 
14914
[1160823900,1],
 
14915
[1160845500,1],
 
14916
[1160867100,1],
 
14917
[1160892300,1],
 
14918
[1160910300,1],
 
14919
[1160931900,1],
 
14920
[1160953500,1],
 
14921
[1160975100,1],
 
14922
[1160996700,1],
 
14923
[1161018300,1],
 
14924
[1161039900,1],
 
14925
[1161061500,1],
 
14926
[1161083100,1],
 
14927
[1161104700,1],
 
14928
[1161126300,1],
 
14929
[1161148500,1],
 
14930
[1161205200,1],
 
14931
[1161234300,1],
 
14932
[1161255900,1],
 
14933
[1161277500,1],
 
14934
[1161299100,1],
 
14935
[1161320700,1],
 
14936
[1161342300,1],
 
14937
[1161363900,1],
 
14938
[1161385500,1],
 
14939
[1161407100,1],
 
14940
[1161428700,1],
 
14941
[1161450300,1],
 
14942
[1161471900,1],
 
14943
[1161493500,1],
 
14944
[1161515100,1],
 
14945
[1161539400,1],
 
14946
[1161558300,1],
 
14947
[1161579900,1],
 
14948
[1161601500,1],
 
14949
[1161623100,1],
 
14950
[1161644700,1],
 
14951
[1161666300,1],
 
14952
[1161687900,1],
 
14953
[1161709500,1],
 
14954
[1161731100,1],
 
14955
[1161753000,1],
 
14956
[1161774300,1],
 
14957
[1161795900,1],
 
14958
[1161839100,1],
 
14959
[1161860700,1],
 
14960
[1161882300,1],
 
14961
[1161903900,1],
 
14962
[1161925500,1],
 
14963
[1161947100,1],
 
14964
[1161968700,1],
 
14965
[1161990300,1],
 
14966
[1162011900,1],
 
14967
[1162033500,1],
 
14968
[1162055100,1],
 
14969
[1162077600,1],
 
14970
[1162101900,1],
 
14971
[1162123500,1],
 
14972
[1162188300,1],
 
14973
[1162209900,1],
 
14974
[1162231500,1],
 
14975
[1162253100,1],
 
14976
[1162274700,1],
 
14977
[1162296300,1],
 
14978
[1162317900,1],
 
14979
[1162339500,1],
 
14980
[1162361100,1],
 
14981
[1162382700,1],
 
14982
[1162404300,1],
 
14983
[1162425900,1],
 
14984
[1162447500,1],
 
14985
[1162469100,1],
 
14986
[1162490700,1],
 
14987
[1162512300,1],
 
14988
[1162533900,1],
 
14989
[1162555500,1],
 
14990
[1162577100,1],
 
14991
[1162598700,1],
 
14992
[1162620300,1],
 
14993
[1162663500,1],
 
14994
[1162706700,1],
 
14995
[1162728300,1],
 
14996
[1162749900,1],
 
14997
[1162771500,1],
 
14998
[1162793700,1],
 
14999
[1162814700,1],
 
15000
[1162836300,1],
 
15001
[1162857900,1],
 
15002
[1162879500,1],
 
15003
[1162901100,1],
 
15004
[1162922700,1],
 
15005
[1162944300,1],
 
15006
[1162965900,1],
 
15007
[1162987500,1],
 
15008
[1163009100,1],
 
15009
[1163027700,1],
 
15010
[1163058000,1],
 
15011
[1163073900,1],
 
15012
[1163095500,1],
 
15013
[1163117100,1],
 
15014
[1163138700,1],
 
15015
[1163160300,1],
 
15016
[1163181900,1],
 
15017
[1163203500,1],
 
15018
[1163225100,1],
 
15019
[1163246700,1],
 
15020
[1163268300,1],
 
15021
[1163289900,1],
 
15022
[1163311500,1],
 
15023
[1163333100,1],
 
15024
[1163354700,1],
 
15025
[1163376300,1],
 
15026
[1163397900,1],
 
15027
[1163419500,1],
 
15028
[1163442900,1],
 
15029
[1163462700,1],
 
15030
[1163484300,1],
 
15031
[1163505900,1],
 
15032
[1163527500,1],
 
15033
[1163549100,1],
 
15034
[1163570700,1],
 
15035
[1163592300,1],
 
15036
[1163613900,1],
 
15037
[1163635500,1],
 
15038
[1163657100,1],
 
15039
[1163678700,1],
 
15040
[1163700300,1],
 
15041
[1163721900,1],
 
15042
[1163743500,1],
 
15043
[1163765100,1],
 
15044
[1163786700,1],
 
15045
[1163808300,1],
 
15046
[1163829900,1],
 
15047
[1163851500,1],
 
15048
[1163873100,1],
 
15049
[1163894700,1],
 
15050
[1163916300,1],
 
15051
[1163937900,1],
 
15052
[1163959500,1],
 
15053
[1163981100,1],
 
15054
[1164002700,1],
 
15055
[1164024300,1],
 
15056
[1164045900,1],
 
15057
[1164067500,1],
 
15058
[1164089100,1],
 
15059
[1164110700,1],
 
15060
[1164132300,1],
 
15061
[1164153900,1],
 
15062
[1164175500,1],
 
15063
[1164197100,1],
 
15064
[1164218700,1],
 
15065
[1164240300,1],
 
15066
[1164261900,1],
 
15067
[1164283500,1],
 
15068
[1164305100,1],
 
15069
[1164326700,1],
 
15070
[1164348300,1],
 
15071
[1164369900,1],
 
15072
[1164391500,1],
 
15073
[1164413100,1],
 
15074
[1164456300,1],
 
15075
[1164477900,1],
 
15076
[1164499500,1],
 
15077
[1164506700,1],
 
15078
[1165987200,1],
 
15079
[1166012700,1],
 
15080
[1166034300,1],
 
15081
[1166037600,1],
 
15082
[1166058300,1],
 
15083
[1166078100,1],
 
15084
[1166097900,1],
 
15085
[1166119500,1],
 
15086
[1166141100,1],
 
15087
[1166162700,1],
 
15088
[1166184300,1],
 
15089
[1166205900,1],
 
15090
[1166227500,1],
 
15091
[1166249100,1],
 
15092
[1166270700,1],
 
15093
[1166292300,1],
 
15094
[1166313900,1],
 
15095
[1166335500,1],
 
15096
[1166357100,1],
 
15097
[1166378700,1],
 
15098
[1166400300,1],
 
15099
[1166421900,1],
 
15100
[1166443500,1],
 
15101
[1166465100,1],
 
15102
[1166486700,1],
 
15103
[1166508300,1],
 
15104
[1166529900,1],
 
15105
[1166551500,1],
 
15106
[1166573100,1],
 
15107
[1166594700,1],
 
15108
[1166616300,1],
 
15109
[1166637900,1],
 
15110
[1166659500,1],
 
15111
[1166681100,1],
 
15112
[1166702700,1],
 
15113
[1166724300,1],
 
15114
[1166745900,1],
 
15115
[1166767500,1],
 
15116
[1166789100,1],
 
15117
[1166810700,1],
 
15118
[1166832300,1],
 
15119
[1166853900,1],
 
15120
[1166875500,1],
 
15121
[1166897100,1],
 
15122
[1166918700,1],
 
15123
[1166940300,1],
 
15124
[1166961900,1],
 
15125
[1166983500,1],
 
15126
[1167005100,1],
 
15127
[1167026700,1],
 
15128
[1167048300,1],
 
15129
[1167069900,1],
 
15130
[1167091500,1],
 
15131
[1167113100,1],
 
15132
[1167134700,1],
 
15133
[1167156300,1],
 
15134
[1167177900,1],
 
15135
[1167199500,1],
 
15136
[1167221100,1],
 
15137
[1167242700,1],
 
15138
[1167264300,1],
 
15139
[1167285900,1],
 
15140
[1167307500,1],
 
15141
[1167329100,1],
 
15142
[1167350700,1],
 
15143
[1167372300,1],
 
15144
[1167393900,1],
 
15145
[1167415500,1],
 
15146
[1167437100,1],
 
15147
[1167458700,1],
 
15148
[1167480300,1],
 
15149
[1167501900,1],
 
15150
[1167523500,1],
 
15151
[1167545100,1],
 
15152
[1167566700,1],
 
15153
[1167588300,1],
 
15154
[1167609900,1],
 
15155
[1167631500,1],
 
15156
[1167653100,1],
 
15157
[1167678300,1],
 
15158
[1167696300,1],
 
15159
[1167717900,1],
 
15160
[1167739500,1],
 
15161
[1167761100,1],
 
15162
[1167782700,1],
 
15163
[1167804300,1],
 
15164
[1167825900,1],
 
15165
[1167847500,1],
 
15166
[1167869100,1],
 
15167
[1167890700,1],
 
15168
[1167912300,1],
 
15169
[1167933900,1],
 
15170
[1167955500,1],
 
15171
[1167977100,1],
 
15172
[1167998700,1],
 
15173
[1168020300,1],
 
15174
[1168041900,1],
 
15175
[1168063500,1],
 
15176
[1168085100,1],
 
15177
[1168106700,1],
 
15178
[1168128300,1],
 
15179
[1168149900,1],
 
15180
[1168171500,1],
 
15181
[1168193100,1],
 
15182
[1168214700,1],
 
15183
[1168236300,1],
 
15184
[1168257900,1],
 
15185
[1168279500,1],
 
15186
[1168301100,1],
 
15187
[1168322700,1],
 
15188
[1168344300,1],
 
15189
[1168365900,1],
 
15190
[1168387500,1],
 
15191
[1168409100,1],
 
15192
[1168430700,1],
 
15193
[1168452300,1],
 
15194
[1168473900,1],
 
15195
[1168495500,1],
 
15196
[1168517100,1],
 
15197
[1168538700,1],
 
15198
[1168560300,1],
 
15199
[1168581900,1],
 
15200
[1168603500,1],
 
15201
[1168625100,1],
 
15202
[1168646700,1],
 
15203
[1168668300,1],
 
15204
[1168689900,1],
 
15205
[1168711500,1],
 
15206
[1168733100,1],
 
15207
[1168754700,1],
 
15208
[1168776300,1],
 
15209
[1168797900,1],
 
15210
[1168819500,1],
 
15211
[1168841100,1],
 
15212
[1168862700,1],
 
15213
[1168884300,1],
 
15214
[1168905900,1],
 
15215
[1168927500,1],
 
15216
[1168949100,1],
 
15217
[1168970700,1],
 
15218
[1168992300,1],
 
15219
[1169013900,1],
 
15220
[1169035500,1],
 
15221
[1169078700,1],
 
15222
[1169100300,1],
 
15223
[1169121900,1],
 
15224
[1169136300,1],
 
15225
[1169165100,1],
 
15226
[1169186700,1],
 
15227
[1169208300,1],
 
15228
[1169222700,1],
 
15229
[1169251500,1],
 
15230
[1169294700,1],
 
15231
[1169309100,1],
 
15232
[1169337900,1],
 
15233
[1169359500,1],
 
15234
[1169381100,1],
 
15235
[1169395500,1],
 
15236
[1169424300,1],
 
15237
[1169445900,1],
 
15238
[1169467500,1],
 
15239
[1169489100,1],
 
15240
[1169510700,1],
 
15241
[1169532300,1],
 
15242
[1169553900,1],
 
15243
[1169575500,1],
 
15244
[1169597100,1],
 
15245
[1169618700,1],
 
15246
[1169640300,1],
 
15247
[1169683500,1],
 
15248
[1169705100,1],
 
15249
[1169726700,1],
 
15250
[1169748300,1],
 
15251
[1169769900,1],
 
15252
[1169791500,1],
 
15253
[1169813100,1],
 
15254
[1169834700,1],
 
15255
[1170010500,1],
 
15256
[1170029100,1],
 
15257
[1170050700,1],
 
15258
[1170072300,1],
 
15259
[1170093900,1],
 
15260
[1170115500,1],
 
15261
[1170137100,1],
 
15262
[1170158700,1],
 
15263
[1170180300,1],
 
15264
[1170201900,1],
 
15265
[1170223500,1],
 
15266
[1170245100,1],
 
15267
[1170266700,1],
 
15268
[1170288300,1],
 
15269
[1170309900,1],
 
15270
[1170331500,1],
 
15271
[1170355200,1],
 
15272
[1170374700,1],
 
15273
[1170396300,1],
 
15274
[1170439500,1],
 
15275
[1170461100,1],
 
15276
[1170482700,1],
 
15277
[1170504300,1],
 
15278
[1170525900,1],
 
15279
[1170547500,1],
 
15280
[1170569100,1],
 
15281
[1170590700,1],
 
15282
[1170612300,1],
 
15283
[1170633900,1],
 
15284
[1170655500,1],
 
15285
[1170677100,1],
 
15286
[1170698700,1],
 
15287
[1170720300,1],
 
15288
[1170741900,1],
 
15289
[1170763500,1],
 
15290
[1170785100,1],
 
15291
[1170806700,1],
 
15292
[1170828300,1],
 
15293
[1170849900,1],
 
15294
[1170872400,1],
 
15295
[1170893100,1],
 
15296
[1170914700,1],
 
15297
[1170936300,1],
 
15298
[1170957900,1],
 
15299
[1170979500,1],
 
15300
[1171001100,1],
 
15301
[1171022700,1],
 
15302
[1171044300,1],
 
15303
[1171065900,1],
 
15304
[1171087500,1],
 
15305
[1171109100,1],
 
15306
[1171130700,1],
 
15307
[1171152300,1],
 
15308
[1171173900,1],
 
15309
[1171195500,1],
 
15310
[1171217100,1],
 
15311
[1171238700,1],
 
15312
[1171260300,1],
 
15313
[1171281900,1],
 
15314
[1171303500,1],
 
15315
[1171325100,1],
 
15316
[1171346700,1],
 
15317
[1171368300,1],
 
15318
[1171389900,1],
 
15319
[1171411500,1],
 
15320
[1173748800,1],
 
15321
[1173762300,1],
 
15322
[1173783900,1],
 
15323
[1173805500,1],
 
15324
[1173827100,1],
 
15325
[1173848700,1],
 
15326
[1173870300,1],
 
15327
[1173891900,1],
 
15328
[1173913500,1],
 
15329
[1173935100,1],
 
15330
[1173956700,1],
 
15331
[1173978300,1],
 
15332
[1173999900,1],
 
15333
[1174021500,1],
 
15334
[1174043100,1],
 
15335
[1174064700,1],
 
15336
[1174086300,1],
 
15337
[1174107900,1],
 
15338
[1174129500,1],
 
15339
[1174151100,1],
 
15340
[1174172700,1],
 
15341
[1174194300,1],
 
15342
[1174215900,1],
 
15343
[1174259100,1],
 
15344
[1174280700,1],
 
15345
[1174302300,1],
 
15346
[1174367100,1],
 
15347
[1174388700,1],
 
15348
[1174410300,1],
 
15349
[1174431900,1],
 
15350
[1174453500,1],
 
15351
[1174475100,1],
 
15352
[1174496700,1],
 
15353
[1174518300,1],
 
15354
[1174539900,1],
 
15355
[1174561500,1],
 
15356
[1174583100,1],
 
15357
[1174604700,1],
 
15358
[1174626300,1],
 
15359
[1174647900,1],
 
15360
[1174669500,1],
 
15361
[1174691100,1],
 
15362
[1174712700,1],
 
15363
[1174734300,1],
 
15364
[1174755900,1],
 
15365
[1174777500,1],
 
15366
[1174799100,1],
 
15367
[1174820700,1],
 
15368
[1174842300,1],
 
15369
[1174863900,1],
 
15370
[1174885500,1],
 
15371
[1174907100,1],
 
15372
[1174928700,1],
 
15373
[1174950300,1],
 
15374
[1174971900,1],
 
15375
[1174993500,1],
 
15376
[1175015100,1],
 
15377
[1175036700,1],
 
15378
[1175058300,1],
 
15379
[1175079900,1],
 
15380
[1175101500,1],
 
15381
[1175123100,1],
 
15382
[1175144700,1],
 
15383
[1175166300,1],
 
15384
[1175187900,1],
 
15385
[1175209500,1],
 
15386
[1175231100,1],
 
15387
[1175252700,1],
 
15388
[1175274300,1],
 
15389
[1175295900,1],
 
15390
[1175317500,1],
 
15391
[1175339100,1],
 
15392
[1175360700,1],
 
15393
[1175382300,1],
 
15394
[1175403900,1],
 
15395
[1175425500,1],
 
15396
[1175447100,1],
 
15397
[1175468700,1],
 
15398
[1175490300,1],
 
15399
[1175511900,1],
 
15400
[1175533500,1],
 
15401
[1175555100,1],
 
15402
[1175576700,1],
 
15403
[1175598300,1],
 
15404
[1175616300,1],
 
15405
[1175684700,1],
 
15406
[1175706300,1],
 
15407
[1175727900,1],
 
15408
[1175749500,1],
 
15409
[1175771100,1],
 
15410
[1175792700,1],
 
15411
[1175814300,1],
 
15412
[1175835900,1],
 
15413
[1175857500,1],
 
15414
[1175879100,1],
 
15415
[1175900700,1],
 
15416
[1175922300,1],
 
15417
[1175943900,1],
 
15418
[1175965500,1],
 
15419
[1175987100,1],
 
15420
[1176008700,1],
 
15421
[1176030300,1],
 
15422
[1176051900,1],
 
15423
[1176073500,1],
 
15424
[1176095100,1],
 
15425
[1176116700,1],
 
15426
[1176141900,1],
 
15427
[1176159900,1],
 
15428
[1176181500,1],
 
15429
[1176203100,1],
 
15430
[1176224700,1],
 
15431
[1176246300,1],
 
15432
[1176267900,1],
 
15433
[1176289500,1],
 
15434
[1176332700,1],
 
15435
[1176354300,1],
 
15436
[1176375900,1],
 
15437
[1176397500,1],
 
15438
[1176419100,1],
 
15439
[1176440700,1],
 
15440
[1176462300,1],
 
15441
[1176483900,1],
 
15442
[1176505500,1],
 
15443
[1176527100,1],
 
15444
[1176548700,1],
 
15445
[1176570300,1],
 
15446
[1176591900,1],
 
15447
[1176613500,1],
 
15448
[1176635100,1],
 
15449
[1176656700,1],
 
15450
[1176678300,1],
 
15451
[1176699900,1],
 
15452
[1176721500,1],
 
15453
[1176743100,1],
 
15454
[1176764700,1],
 
15455
[1176786300,1],
 
15456
[1176807900,1],
 
15457
[1176829500,1],
 
15458
[1176851100,1],
 
15459
[1176872700,1],
 
15460
[1176894300,1],
 
15461
[1176915900,1],
 
15462
[1176937500,1],
 
15463
[1176959100,1],
 
15464
[1176980700,1],
 
15465
[1177002300,1],
 
15466
[1177025400,1],
 
15467
[1177045500,1],
 
15468
[1177067100,1],
 
15469
[1177088700,1],
 
15470
[1177110300,1],
 
15471
[1177131900,1],
 
15472
[1177153500,1],
 
15473
[1177175100,1],
 
15474
[1177196700,1],
 
15475
[1177218300,1],
 
15476
[1177239900,1],
 
15477
[1177261500,1],
 
15478
[1177283100,1],
 
15479
[1177304700,1],
 
15480
[1177326300,1],
 
15481
[1177347900,1],
 
15482
[1177369500,1],
 
15483
[1177391100,1],
 
15484
[1177412700,1],
 
15485
[1177434300,1],
 
15486
[1177455900,1],
 
15487
[1177477500,1],
 
15488
[1177499100,1],
 
15489
[1177520700,1],
 
15490
[1177542300,1],
 
15491
[1177563900,1],
 
15492
[1177585500,1],
 
15493
[1177607100,1],
 
15494
[1177628700,1],
 
15495
[1177650300,1],
 
15496
[1177671900,1],
 
15497
[1177693500,1],
 
15498
[1177715100,1],
 
15499
[1177736700,1],
 
15500
[1177758300,1],
 
15501
[1177779900,1],
 
15502
[1177801500,1],
 
15503
[1177823100,1],
 
15504
[1177844700,1],
 
15505
[1177866300,1],
 
15506
[1177887900,1],
 
15507
[1177909500,1],
 
15508
[1177931100,1],
 
15509
[1177952700,1],
 
15510
[1177976400,1],
 
15511
[1177995900,1],
 
15512
[1178017500,1],
 
15513
[1178039100,1],
 
15514
[1178060700,1],
 
15515
[1178082300,1],
 
15516
[1178103900,1],
 
15517
[1178125500,1],
 
15518
[1178147100,1],
 
15519
[1178168700,1],
 
15520
[1178190300,1],
 
15521
[1178211900,1],
 
15522
[1178255100,1],
 
15523
[1178276700,1],
 
15524
[1178298300,1],
 
15525
[1178319900,1],
 
15526
[1178341500,1],
 
15527
[1178363100,1],
 
15528
[1178384700,1],
 
15529
[1178406300,1],
 
15530
[1178427900,1],
 
15531
[1178449500,1],
 
15532
[1178471100,1],
 
15533
[1178492700,1],
 
15534
[1178514300,1],
 
15535
[1178535900,1],
 
15536
[1178557500,1],
 
15537
[1178579100,1],
 
15538
[1178600700,1],
 
15539
[1178622300,1],
 
15540
[1178643900,1],
 
15541
[1178665500,1],
 
15542
[1178687100,1],
 
15543
[1178708700,1],
 
15544
[1178730300,1],
 
15545
[1178773500,1],
 
15546
[1178795100,1],
 
15547
[1178816700,1],
 
15548
[1178838300,1],
 
15549
[1178859900,1],
 
15550
[1178881500,1],
 
15551
[1178903100,1],
 
15552
[1178924700,1],
 
15553
[1178946300,1],
 
15554
[1178967900,1],
 
15555
[1178989500,1],
 
15556
[1179011100,1],
 
15557
[1179032700,1],
 
15558
[1179054300,1],
 
15559
[1179075900,1],
 
15560
[1179097500,1],
 
15561
[1179119100,1],
 
15562
[1179140700,1],
 
15563
[1179162300,1],
 
15564
[1179183900,1],
 
15565
[1179205500,1],
 
15566
[1179227100,1],
 
15567
[1179248700,1],
 
15568
[1179291900,1],
 
15569
[1179313500,1],
 
15570
[1179335100,1],
 
15571
[1179356700,1],
 
15572
[1179378300,1],
 
15573
[1179399900,1],
 
15574
[1179421500,1],
 
15575
[1179443100,1],
 
15576
[1179464700,1],
 
15577
[1179486300,1],
 
15578
[1179507900,1],
 
15579
[1180583100,1],
 
15580
[1180713900,1],
 
15581
[1180735500,1],
 
15582
[1180760700,1],
 
15583
[1180821900,1],
 
15584
[1180890300,1],
 
15585
[1180911900,1],
 
15586
[1180939200,1],
 
15587
[1180974300,1],
 
15588
[1181024100,1],
 
15589
[1181063100,1],
 
15590
[1181084700,1],
 
15591
[1181127900,1],
 
15592
[1181149500,1],
 
15593
[1181171100,1],
 
15594
[1181192700,1],
 
15595
[1181214300,1],
 
15596
[1181235900,1],
 
15597
[1181257500,1],
 
15598
[1181279100,1],
 
15599
[1181300700,1],
 
15600
[1181322300,1],
 
15601
[1181343900,1],
 
15602
[1181365500,1],
 
15603
[1181387100,1],
 
15604
[1181408700,1],
 
15605
[1181430300,1],
 
15606
[1181451900,1],
 
15607
[1181473500,1],
 
15608
[1181495100,1],
 
15609
[1181516700,1],
 
15610
[1181538300,1],
 
15611
[1181559900,1],
 
15612
[1181581500,1],
 
15613
[1181603100,1],
 
15614
[1181624700,1],
 
15615
[1181646300,1],
 
15616
[1181667900,1],
 
15617
[1181689500,1],
 
15618
[1181711100,1],
 
15619
[1181732700,1],
 
15620
[1181754300,1],
 
15621
[1181775900,1],
 
15622
[1181797500,1],
 
15623
[1181819100,1],
 
15624
[1181840700,1],
 
15625
[1181862300,1],
 
15626
[1181883900,1],
 
15627
[1181905500,1],
 
15628
[1181927100,1],
 
15629
[1181948700,1],
 
15630
[1181970300,1],
 
15631
[1181991900,1],
 
15632
[1182013500,1],
 
15633
[1182035100,1],
 
15634
[1182056700,1],
 
15635
[1182078300,1],
 
15636
[1182099900,1],
 
15637
[1182121500,1],
 
15638
[1182143100,1],
 
15639
[1182164700,1],
 
15640
[1182186300,1],
 
15641
[1182207900,1],
 
15642
[1182229500,1],
 
15643
[1182251100,1],
 
15644
[1182272700,1],
 
15645
[1182294300,1],
 
15646
[1182315900,1],
 
15647
[1182337500,1],
 
15648
[1182359100,1],
 
15649
[1182380700,1],
 
15650
[1182402300,1],
 
15651
[1182423900,1],
 
15652
[1182445500,1],
 
15653
[1182467100,1],
 
15654
[1182488700,1],
 
15655
[1182510300,1],
 
15656
[1182531900,1],
 
15657
[1182553500,1],
 
15658
[1182575100,1],
 
15659
[1182596700,1],
 
15660
[1182618300,1],
 
15661
[1182639900,1],
 
15662
[1182661500,1],
 
15663
[1182683100,1],
 
15664
[1182704700,1],
 
15665
[1182726300,1],
 
15666
[1182747900,1],
 
15667
[1182769500,1],
 
15668
[1182791100,1],
 
15669
[1182816300,1],
 
15670
[1182834300,1],
 
15671
[1182855900,1],
 
15672
[1182877500,1],
 
15673
[1182899100,1],
 
15674
[1182920700,1],
 
15675
[1182942300,1],
 
15676
[1182963900,1],
 
15677
[1182985500,1],
 
15678
[1183007100,1],
 
15679
[1183028700,1],
 
15680
[1183050300,1],
 
15681
[1183071900,1],
 
15682
[1183093500,1],
 
15683
[1183115100,1],
 
15684
[1183136700,1],
 
15685
[1183158300,1],
 
15686
[1183179900,1],
 
15687
[1183201500,1],
 
15688
[1183223100,1],
 
15689
[1183244700,1],
 
15690
[1183266300,1],
 
15691
[1183287900,1],
 
15692
[1183309500,1],
 
15693
[1183331100,1],
 
15694
[1183352700,1],
 
15695
[1183374300,1],
 
15696
[1183399500,1],
 
15697
[1183417500,1],
 
15698
[1183439100,1],
 
15699
[1183460700,1],
 
15700
[1183482300,1],
 
15701
[1183503900,1],
 
15702
[1183525500,1],
 
15703
[1183547100,1],
 
15704
[1183568700,1],
 
15705
[1183590300,1],
 
15706
[1183611900,1],
 
15707
[1183633500,1],
 
15708
[1183655100,1],
 
15709
[1183676700,1],
 
15710
[1183698300,1],
 
15711
[1183719900,1],
 
15712
[1183741500,1],
 
15713
[1183763100,1],
 
15714
[1183784700,1],
 
15715
[1183806300,1],
 
15716
[1183827900,1],
 
15717
[1183849500,1],
 
15718
[1183871100,1],
 
15719
[1183892700,1],
 
15720
[1183914300,1],
 
15721
[1183935900,1],
 
15722
[1183957500,1],
 
15723
[1183979100,1],
 
15724
[1184000700,1],
 
15725
[1184022300,1],
 
15726
[1184043900,1],
 
15727
[1184065500,1],
 
15728
[1184087100,1],
 
15729
[1184108700,1],
 
15730
[1184130300,1],
 
15731
[1184151900,1],
 
15732
[1184173500,1],
 
15733
[1184195100,1],
 
15734
[1184216700,1],
 
15735
[1184238300,1],
 
15736
[1184281500,1],
 
15737
[1184303100,1],
 
15738
[1184324700,1],
 
15739
[1184346300,1],
 
15740
[1184367900,1],
 
15741
[1184389500,1],
 
15742
[1184411100,1],
 
15743
[1184432700,1],
 
15744
[1184454300,1],
 
15745
[1184475900,1],
 
15746
[1184497500,1],
 
15747
[1184519100,1],
 
15748
[1184540700,1],
 
15749
[1184562300,1],
 
15750
[1184583900,1],
 
15751
[1184605500,1],
 
15752
[1184627100,1],
 
15753
[1184648700,1],
 
15754
[1184670300,1],
 
15755
[1184691900,1],
 
15756
[1184713500,1],
 
15757
[1184735100,1],
 
15758
[1184756700,1],
 
15759
[1184778300,1],
 
15760
[1184799900,1],
 
15761
[1184821500,1],
 
15762
[1184843100,1],
 
15763
[1184864700,1],
 
15764
[1184886300,1],
 
15765
[1184907900,1],
 
15766
[1184929500,1],
 
15767
[1184951100,1],
 
15768
[1184972700,1],
 
15769
[1184994300,1],
 
15770
[1185015900,1],
 
15771
[1185037500,1],
 
15772
[1185059100,1],
 
15773
[1185080700,1],
 
15774
[1185102300,1],
 
15775
[1185123900,1],
 
15776
[1185145500,1],
 
15777
[1185167100,1],
 
15778
[1185188700,1],
 
15779
[1185210300,1],
 
15780
[1185231900,1],
 
15781
[1185253500,1],
 
15782
[1185275100,1],
 
15783
[1185296700,1],
 
15784
[1185318300,1],
 
15785
[1185339900,1],
 
15786
[1185361500,1],
 
15787
[1185383100,1],
 
15788
[1185404700,1],
 
15789
[1185426300,1],
 
15790
[1185447900,1],
 
15791
[1185469500,1],
 
15792
[1185491100,1],
 
15793
[1185512700,1],
 
15794
[1185534300,1],
 
15795
[1185555900,1],
 
15796
[1185577500,1],
 
15797
[1185599100,1],
 
15798
[1185620700,1],
 
15799
[1185642300,1],
 
15800
[1185663900,1],
 
15801
[1185685500,1],
 
15802
[1185707100,1],
 
15803
[1185728700,1],
 
15804
[1185750300,1],
 
15805
[1185771900,1],
 
15806
[1185793500,1],
 
15807
[1185815100,1],
 
15808
[1185838500,1],
 
15809
[1185858600,1],
 
15810
[1185879900,1],
 
15811
[1185901500,1],
 
15812
[1185923100,1],
 
15813
[1186009800,1],
 
15814
[1186031100,1],
 
15815
[1186052700,1],
 
15816
[1186074300,1],
 
15817
[1186099200,1],
 
15818
[1186117500,1],
 
15819
[1186139100,1],
 
15820
[1186160700,1],
 
15821
[1186182300,1],
 
15822
[1186203900,1],
 
15823
[1186225500,1],
 
15824
[1186247100,1],
 
15825
[1186268700,1],
 
15826
[1186290300,1],
 
15827
[1186311900,1],
 
15828
[1186333500,1],
 
15829
[1186355100,1],
 
15830
[1186376700,1],
 
15831
[1186398300,1],
 
15832
[1186419900,1],
 
15833
[1186441500,1],
 
15834
[1186463100,1],
 
15835
[1186484700,1],
 
15836
[1186506300,1],
 
15837
[1186527900,1],
 
15838
[1186549500,1],
 
15839
[1186571100,1],
 
15840
[1186592700,1],
 
15841
[1186614300,1],
 
15842
[1186635900,1],
 
15843
[1186657500,1],
 
15844
[1186679100,1],
 
15845
[1186722300,1],
 
15846
[1186743900,1],
 
15847
[1186765500,1],
 
15848
[1186808700,1],
 
15849
[1186851900,1],
 
15850
[1186873500,1],
 
15851
[1186895100,1],
 
15852
[1186916700,1],
 
15853
[1186938300,1],
 
15854
[1186959900,1],
 
15855
[1186981500,1],
 
15856
[1187003100,1],
 
15857
[1187024700,1],
 
15858
[1187067900,1],
 
15859
[1187089500,1],
 
15860
[1187111100,1],
 
15861
[1187132700,1],
 
15862
[1187154300,1],
 
15863
[1187175900,1],
 
15864
[1187197500,1],
 
15865
[1187219100,1],
 
15866
[1187240700,1],
 
15867
[1187283900,1],
 
15868
[1187327100,1],
 
15869
[1187348700,1],
 
15870
[1187370300,1],
 
15871
[1187413500,1],
 
15872
[1187435100,1],
 
15873
[1187456700,1],
 
15874
[1187478300,1],
 
15875
[1187499900,1],
 
15876
[1187521500,1],
 
15877
[1187543100,1],
 
15878
[1187564700,1],
 
15879
[1187586300,1],
 
15880
[1187629500,1],
 
15881
[1187802300,1],
 
15882
[1187888700,1],
 
15883
[1187931900,1],
 
15884
[1187996700,1],
 
15885
[1188018300,1],
 
15886
[1188061500,1],
 
15887
[1188104700,1],
 
15888
[1188147900,1],
 
15889
[1188687900,1],
 
15890
[1188709500,1],
 
15891
[1188752700,1],
 
15892
[1188774300,1],
 
15893
[1188839100,1],
 
15894
[1188860700,1],
 
15895
[1188882300,1],
 
15896
[1188925500,1],
 
15897
[1188947100,1],
 
15898
[1189011900,1],
 
15899
[1189055100,1],
 
15900
[1189098300,1],
 
15901
[1189184700,1],
 
15902
[1189206300,1],
 
15903
[1189227900,1],
 
15904
[1189271100,1],
 
15905
[1189292700,1],
 
15906
[1189335900,1],
 
15907
[1189357500,1],
 
15908
[1189379100,1],
 
15909
[1189400700,1],
 
15910
[1189422300,1],
 
15911
[1189443900,1],
 
15912
[1189465500,1],
 
15913
[1189487100,1],
 
15914
[1189508700,1],
 
15915
[1189530300,1],
 
15916
[1189551900,1],
 
15917
[1189573500,1],
 
15918
[1189595100,1],
 
15919
[1189616700,1],
 
15920
[1189638300,1],
 
15921
[1189659900,1],
 
15922
[1189681500,1],
 
15923
[1189703100,1],
 
15924
[1189724700,1],
 
15925
[1189746300,1],
 
15926
[1189767900,1],
 
15927
[1189789500,1],
 
15928
[1189811100,1],
 
15929
[1189832700,1],
 
15930
[1189854300,1],
 
15931
[1189875900,1],
 
15932
[1189897500,1],
 
15933
[1189919100,1],
 
15934
[1189940700,1],
 
15935
[1189962300,1],
 
15936
[1189983900,1],
 
15937
[1190005500,1],
 
15938
[1190027100,1],
 
15939
[1190048700,1],
 
15940
[1190070300,1],
 
15941
[1190091900,1],
 
15942
[1190113500,1],
 
15943
[1190135100,1],
 
15944
[1190156700,1],
 
15945
[1190178300,1],
 
15946
[1190199900,1],
 
15947
[1190221500,1],
 
15948
[1190243100,1],
 
15949
[1190264700,1],
 
15950
[1190286300,1],
 
15951
[1190307900,1],
 
15952
[1190329500,1],
 
15953
[1190351100,1],
 
15954
[1190372700,1],
 
15955
[1190394300,1],
 
15956
[1190415900,1],
 
15957
[1190437500,1],
 
15958
[1190459100,1],
 
15959
[1190480700,1],
 
15960
[1190502300,1],
 
15961
[1190523900,1],
 
15962
[1190545500,1],
 
15963
[1190567100,1],
 
15964
[1190588700,1],
 
15965
[1190610300,1],
 
15966
[1190631900,1],
 
15967
[1190653500,1],
 
15968
[1190675100,1],
 
15969
[1190696700,1],
 
15970
[1190739900,1],
 
15971
[1190761500,1],
 
15972
[1190783100,1],
 
15973
[1190804700,1],
 
15974
[1190826300,1],
 
15975
[1190847900,1],
 
15976
[1190869500,1],
 
15977
[1190891100,1],
 
15978
[1190934300,1],
 
15979
[1190955900,1],
 
15980
[1190977500,1],
 
15981
[1190995500,1],
 
15982
[1191020700,1],
 
15983
[1191042300,1],
 
15984
[1191063900,1],
 
15985
[1191085500,1],
 
15986
[1191103500,1],
 
15987
[1191128700,1],
 
15988
[1191150300,1],
 
15989
[1191171900,1],
 
15990
[1191193500,1],
 
15991
[1191215100,1],
 
15992
[1191236700,1],
 
15993
[1191258300,1],
 
15994
[1191279900,1],
 
15995
[1191301500,1],
 
15996
[1191344700,1],
 
15997
[1191366300,1],
 
15998
[1191409500,1],
 
15999
[1191431100,1],
 
16000
[1191452700,1],
 
16001
[1191474300,1],
 
16002
[1191495900,1],
 
16003
[1191517500,1],
 
16004
[1191539100,1],
 
16005
[1191560700,1],
 
16006
[1191582300,1],
 
16007
[1191625500,1],
 
16008
[1191647100,1],
 
16009
[1191668700,1],
 
16010
[1191690300,1],
 
16011
[1191708300,1],
 
16012
[1191733500,1],
 
16013
[1191755100,1],
 
16014
[1191776700,1],
 
16015
[1191819900,1],
 
16016
[1191841500,1],
 
16017
[1191863100,1],
 
16018
[1191884700,1],
 
16019
[1191906300,1],
 
16020
[1191927900,1],
 
16021
[1191945900,1],
 
16022
[1191971100,1],
 
16023
[1192014300,1],
 
16024
[1192032300,1],
 
16025
[1192057500,1],
 
16026
[1192122300,1],
 
16027
[1192143900,1],
 
16028
[1192187100,1],
 
16029
[1192230300,1],
 
16030
[1192251900,1],
 
16031
[1192273500,1],
 
16032
[1192295100,1],
 
16033
[1192316700,1],
 
16034
[1192338300,1],
 
16035
[1192359900,1],
 
16036
[1192381500,1],
 
16037
[1192403100,1],
 
16038
[1192424700,1],
 
16039
[1192446300,1],
 
16040
[1192489500,1],
 
16041
[1192511100,1],
 
16042
[1192532700,1],
 
16043
[1192554300,1],
 
16044
[1192575900,1],
 
16045
[1192619100,1],
 
16046
[1192662300,1],
 
16047
[1192687500,1],
 
16048
[1192705500,1],
 
16049
[1192730700,1],
 
16050
[1192770300,1],
 
16051
[1192791900,1],
 
16052
[1192835100,1],
 
16053
[1192856700,1],
 
16054
[1192878300,1],
 
16055
[1192899900,1],
 
16056
[1192921500,1],
 
16057
[1192943100,1],
 
16058
[1192964700,1],
 
16059
[1192986300,1],
 
16060
[1193007900,1],
 
16061
[1193029500,1],
 
16062
[1193051100,1],
 
16063
[1193072700,1],
 
16064
[1193094300,1],
 
16065
[1193115900,1],
 
16066
[1193159100,1],
 
16067
[1193180700,1],
 
16068
[1193223900,1],
 
16069
[1193267100,1],
 
16070
[1193288700,1],
 
16071
[1193310300,1],
 
16072
[1193353500,1],
 
16073
[1193375100,1],
 
16074
[1193396700,1],
 
16075
[1193414100,1],
 
16076
[1193439900,1],
 
16077
[1193461500,1],
 
16078
[1193483100,1],
 
16079
[1193504700,1],
 
16080
[1193526300,1],
 
16081
[1193569500,1],
 
16082
[1193591100,1],
 
16083
[1193612700,1],
 
16084
[1193634300,1],
 
16085
[1193655900,1],
 
16086
[1193677500,1],
 
16087
[1193699100,1],
 
16088
[1193720700,1],
 
16089
[1193763900,1],
 
16090
[1193785500,1],
 
16091
[1193803500,1],
 
16092
[1193828700,1],
 
16093
[1193871900,1],
 
16094
[1193893500,1],
 
16095
[1193915100,1],
 
16096
[1193933100,1],
 
16097
[1193958300,1],
 
16098
[1193979900,1],
 
16099
[1194001500,1],
 
16100
[1194023100,1],
 
16101
[1194044700,1],
 
16102
[1194066300,1],
 
16103
[1194087900,1],
 
16104
[1194109500,1],
 
16105
[1194131100,1],
 
16106
[1194156300,1],
 
16107
[1194177900,1],
 
16108
[1194199500,1],
 
16109
[1194221100,1],
 
16110
[1194242700,1],
 
16111
[1194264300,1],
 
16112
[1194285900,1],
 
16113
[1194307500,1],
 
16114
[1194329100,1],
 
16115
[1194350700,1],
 
16116
[1194388800,1],
 
16117
[1194415500,1],
 
16118
[1194437100,1],
 
16119
[1194458700,1],
 
16120
[1194480300,1],
 
16121
[1194501900,1],
 
16122
[1194541500,1],
 
16123
[1194566700,1],
 
16124
[1194588300,1],
 
16125
[1194609900,1],
 
16126
[1194653100,1],
 
16127
[1194671100,1],
 
16128
[1194696300,1],
 
16129
[1194717900,1],
 
16130
[1194735900,1],
 
16131
[1194761100,1],
 
16132
[1194782700,1],
 
16133
[1194825900,1],
 
16134
[1194847500,1],
 
16135
[1194865500,1],
 
16136
[1194892500,1],
 
16137
[1194912300,1],
 
16138
[1194933900,1],
 
16139
[1194951900,1],
 
16140
[1194977100,1],
 
16141
[1194998700,1],
 
16142
[1195041900,1],
 
16143
[1195063500,1],
 
16144
[1195085100,1],
 
16145
[1195106700,1],
 
16146
[1195128300,1],
 
16147
[1195171500,1],
 
16148
[1195193100,1],
 
16149
[1195214700,1],
 
16150
[1195257900,1],
 
16151
[1195340700,1],
 
16152
[1195365900,1],
 
16153
[1195409100,1],
 
16154
[1195430700,1],
 
16155
[1195470300,1],
 
16156
[1195953300,1],
 
16157
[1196035500,1],
 
16158
[1196100300,1],
 
16159
[1196136000,1],
 
16160
[1196143500,1],
 
16161
[1196175900,1],
 
16162
[1196208300,1],
 
16163
[1196229900,1],
 
16164
[1196251500,1],
 
16165
[1196294700,1],
 
16166
[1196316300,1],
 
16167
[1196381100,1],
 
16168
[1196402700,1],
 
16169
[1196424300,1],
 
16170
[1196445900,1],
 
16171
[1196489100,1],
 
16172
[1196532300,1],
 
16173
[1196575500,1],
 
16174
[1196640300,1],
 
16175
[1196661900,1],
 
16176
[1196683500,1],
 
16177
[1196726700,1],
 
16178
[1196748300,1],
 
16179
[1196769900,1],
 
16180
[1196791500,1],
 
16181
[1196813100,1],
 
16182
[1196834700,1],
 
16183
[1196856300,1],
 
16184
[1196877900,1],
 
16185
[1196899500,1],
 
16186
[1196921100,1],
 
16187
[1196942700,1],
 
16188
[1196964300,1],
 
16189
[1196985900,1],
 
16190
[1197007500,1],
 
16191
[1197029100,1],
 
16192
[1197050700,1],
 
16193
[1197072300,1],
 
16194
[1197093900,1],
 
16195
[1197115500,1],
 
16196
[1197137100,1],
 
16197
[1197158700,1],
 
16198
[1197180300,1],
 
16199
[1197201900,1],
 
16200
[1197223500,1],
 
16201
[1197245100,1],
 
16202
[1197266700,1],
 
16203
[1197288300,1],
 
16204
[1197309900,1],
 
16205
[1197331500,1],
 
16206
[1197353100,1],
 
16207
[1197374700,1],
 
16208
[1197396300,1],
 
16209
[1197417900,1],
 
16210
[1197439500,1],
 
16211
[1197461100,1],
 
16212
[1197482700,1],
 
16213
[1197504300,1],
 
16214
[1197525900,1],
 
16215
[1197547500,1],
 
16216
[1197569100,1],
 
16217
[1197590700,1],
 
16218
[1197612300,1],
 
16219
[1197633900,1],
 
16220
[1197677100,1],
 
16221
[1197698700,1],
 
16222
[1197720300,1],
 
16223
[1197741900,1],
 
16224
[1197759900,1],
 
16225
[1197785100,1],
 
16226
[1197806700,1],
 
16227
[1197828300,1],
 
16228
[1197846300,1],
 
16229
[1197871500,1],
 
16230
[1197893100,1],
 
16231
[1197914700,1],
 
16232
[1197936300,1],
 
16233
[1197957900,1],
 
16234
[1197979500,1],
 
16235
[1198001100,1],
 
16236
[1198022700,1],
 
16237
[1198044300,1],
 
16238
[1198065900,1],
 
16239
[1198087500,1],
 
16240
[1198109100,1],
 
16241
[1198130700,1],
 
16242
[1198152300,1],
 
16243
[1198173900,1],
 
16244
[1198195500,1],
 
16245
[1198217100,1],
 
16246
[1198238700,1],
 
16247
[1198260300,1],
 
16248
[1198281900,1],
 
16249
[1198303500,1],
 
16250
[1198325100,1],
 
16251
[1198346700,1],
 
16252
[1198368300,1],
 
16253
[1198389900,1],
 
16254
[1198411500,1],
 
16255
[1198433100,1],
 
16256
[1198454700,1],
 
16257
[1198476300,1],
 
16258
[1198497900,1],
 
16259
[1198519500,1],
 
16260
[1198562700,1],
 
16261
[1198584300,1],
 
16262
[1198605900,1],
 
16263
[1198649100,1],
 
16264
[1198670700,1],
 
16265
[1198692300,1],
 
16266
[1198713900,1],
 
16267
[1198735500,1],
 
16268
[1198757100,1],
 
16269
[1198778700,1],
 
16270
[1198800300,1],
 
16271
[1198843500,1],
 
16272
[1198865100,1],
 
16273
[1198908300,1],
 
16274
[1198929900,1],
 
16275
[1198951500,1],
 
16276
[1198973100,1],
 
16277
[1198994700,1],
 
16278
[1199016300,1],
 
16279
[1199037900,1],
 
16280
[1199081100,1],
 
16281
[1199102700,1],
 
16282
[1199126100,1],
 
16283
[1199145900,1],
 
16284
[1199167500,1],
 
16285
[1199189100,1],
 
16286
[1199210700,1],
 
16287
[1199253900,1],
 
16288
[1199275500,1],
 
16289
[1199297100,1],
 
16290
[1199340300,1],
 
16291
[1199361900,1],
 
16292
[1199383500,1],
 
16293
[1199405100,1],
 
16294
[1199426700,1],
 
16295
[1199448300,1],
 
16296
[1199469900,1],
 
16297
[1199513100,1],
 
16298
[1199534700,1],
 
16299
[1199556300,1],
 
16300
[1199577900,1],
 
16301
[1199599500,1],
 
16302
[1199621100,1],
 
16303
[1199642700,1],
 
16304
[1199664300,1],
 
16305
[1199685900,1],
 
16306
[1199707500,1],
 
16307
[1199729100,1],
 
16308
[1199745600,1],
 
16309
[1199772300,1],
 
16310
[1199793900,1],
 
16311
[1199815500,1],
 
16312
[1199858700,1],
 
16313
[1199880300,1],
 
16314
[1199901900,1],
 
16315
[1199923500,1],
 
16316
[1199945100,1],
 
16317
[1199966700,1],
 
16318
[1199988300,1],
 
16319
[1200009900,1],
 
16320
[1200031500,1],
 
16321
[1200053100,1],
 
16322
[1200078300,1],
 
16323
[1200117900,1],
 
16324
[1200139500,1],
 
16325
[1200161100,1],
 
16326
[1200182700,1],
 
16327
[1200204300,1],
 
16328
[1200225900,1],
 
16329
[1200247500,1],
 
16330
[1200290700,1],
 
16331
[1200312300,1],
 
16332
[1200333900,1],
 
16333
[1200377100,1],
 
16334
[1200398700,1],
 
16335
[1200420300,1],
 
16336
[1200441900,1],
 
16337
[1200506700,1],
 
16338
[1200528300,1],
 
16339
[1200549900,1],
 
16340
[1200571500,1],
 
16341
[1200593100,1],
 
16342
[1200636300,1],
 
16343
[1200657900,1],
 
16344
[1200683100,1],
 
16345
[1200722700,1],
 
16346
[1200744300,1],
 
16347
[1200765900,1],
 
16348
[1200809100,1],
 
16349
[1200830700,1],
 
16350
[1200852300,1],
 
16351
[1200873900,1],
 
16352
[1200895500,1],
 
16353
[1200917100,1],
 
16354
[1200938700,1],
 
16355
[1200981900,1],
 
16356
[1201003500,1],
 
16357
[1201025100,1],
 
16358
[1201046700,1],
 
16359
[1201068300,1],
 
16360
[1201154700,1],
 
16361
[1201197900,1],
 
16362
[1201219500,1],
 
16363
[1201241100,1],
 
16364
[1201262700,1],
 
16365
[1201284300,1],
 
16366
[1201327500,1],
 
16367
[1201349100,1],
 
16368
[1201370700,1],
 
16369
[1201413900,1],
 
16370
[1201435500,1],
 
16371
[1201457100,1],
 
16372
[1201478700,1],
 
16373
[1201500300,1],
 
16374
[1201521900,1],
 
16375
[1201543500,1],
 
16376
[1201565100,1],
 
16377
[1201586700,1],
 
16378
[1201608300,1],
 
16379
[1201629900,1],
 
16380
[1201651500,1],
 
16381
[1201673100,1],
 
16382
[1201709100,1],
 
16383
[1201759500,1],
 
16384
[1201781100,1],
 
16385
[1201802700,1],
 
16386
[1201824300,1],
 
16387
[1201845900,1],
 
16388
[1201867500,1],
 
16389
[1201889100,1],
 
16390
[1201910700,1],
 
16391
[1201932300,1],
 
16392
[1201953900,1],
 
16393
[1201975500,1],
 
16394
[1201997100,1],
 
16395
[1202018700,1],
 
16396
[1202040300,1],
 
16397
[1202061900,1],
 
16398
[1202083500,1],
 
16399
[1202126700,1],
 
16400
[1202169900,1],
 
16401
[1202187900,1],
 
16402
[1202252700,1],
 
16403
[1202313900,1],
 
16404
[1202360700,1],
 
16405
[1202403900,1],
 
16406
[1202447100,1],
 
16407
[1202483100,1],
 
16408
[1202558700,1],
 
16409
[1202601900,1],
 
16410
[1202623500,1],
 
16411
[1202666700,1],
 
16412
[1202688300,1],
 
16413
[1202753100,1],
 
16414
[1202792700,1],
 
16415
[1202857500,1],
 
16416
[1202925900,1],
 
16417
[1202947500,1],
 
16418
[1202969100,1],
 
16419
[1202990700,1],
 
16420
[1203033900,1],
 
16421
[1203059100,1],
 
16422
[1203131100,1],
 
16423
[1203181500,1],
 
16424
[1203217500,1],
 
16425
[1203271500,1],
 
16426
[1203303900,1],
 
16427
[1203350700,1],
 
16428
[1203401100,1],
 
16429
[1203444300,1],
 
16430
[1203465900,1],
 
16431
[1203573900,1],
 
16432
[1203645300,1],
 
16433
[1203660300,1],
 
16434
[1203699900,1],
 
16435
[1203725100,1],
 
16436
[1204286700,1],
 
16437
[1204329900,1],
 
16438
[1204351500,1],
 
16439
[1204394700,1],
 
16440
[1204416300,1],
 
16441
[1204437900,1],
 
16442
[1204477500,1],
 
16443
[1204545900,1],
 
16444
[1204567500,1],
 
16445
[1204589100,1],
 
16446
[1204610700,1],
 
16447
[1204632300,1],
 
16448
[1204653900,1],
 
16449
[1204675500,1],
 
16450
[1204697100,1],
 
16451
[1204718700,1],
 
16452
[1204740300,1],
 
16453
[1204761900,1],
 
16454
[1204783500,1],
 
16455
[1204805100,1],
 
16456
[1204826700,1],
 
16457
[1204848300,1],
 
16458
[1204869900,1],
 
16459
[1204891500,1],
 
16460
[1204913100,1],
 
16461
[1204934700,1],
 
16462
[1204956300,1],
 
16463
[1204977900,1],
 
16464
[1204999500,1],
 
16465
[1205021100,1],
 
16466
[1205042700,1],
 
16467
[1205060700,1],
 
16468
[1205082300,1],
 
16469
[1205103900,1],
 
16470
[1205125500,1],
 
16471
[1205147100,1],
 
16472
[1205168700,1],
 
16473
[1205190300,1],
 
16474
[1205255100,1],
 
16475
[1205276700,1],
 
16476
[1205319900,1],
 
16477
[1205341500,1],
 
16478
[1205363100,1],
 
16479
[1205384700,1],
 
16480
[1205406300,1],
 
16481
[1205427900,1],
 
16482
[1205449500,1],
 
16483
[1205471100,1],
 
16484
[1205492700,1],
 
16485
[1205514300,1],
 
16486
[1205535900,1],
 
16487
[1205557500,1],
 
16488
[1205579100,1],
 
16489
[1205600700,1],
 
16490
[1205622300,1],
 
16491
[1205643900,1],
 
16492
[1205665500,1],
 
16493
[1205687100,1],
 
16494
[1205708700,1],
 
16495
[1205730300,1],
 
16496
[1205751900,1],
 
16497
[1205773500,1],
 
16498
[1205795100,1],
 
16499
[1205816700,1],
 
16500
[1205838300,1],
 
16501
[1205859900,1],
 
16502
[1205881500,1],
 
16503
[1205903100,1],
 
16504
[1205924700,1],
 
16505
[1205946300,1],
 
16506
[1205967900,1],
 
16507
[1205989500,1],
 
16508
[1206011100,1],
 
16509
[1206032700,1],
 
16510
[1206054300,1],
 
16511
[1206075900,1],
 
16512
[1206097500,1],
 
16513
[1206119100,1],
 
16514
[1206140700,1],
 
16515
[1206162300,1],
 
16516
[1206183900,1],
 
16517
[1206205500,1],
 
16518
[1206227100,1],
 
16519
[1206248700,1],
 
16520
[1206270300,1],
 
16521
[1206291900,1],
 
16522
[1206313500,1],
 
16523
[1206335100,1],
 
16524
[1206356700,1],
 
16525
[1206378300,1],
 
16526
[1206399900,1],
 
16527
[1206421500,1],
 
16528
[1206443100,1],
 
16529
[1206464700,1],
 
16530
[1206486300,1],
 
16531
[1206507900,1],
 
16532
[1206529500,1],
 
16533
[1206551100,1],
 
16534
[1206572700,1],
 
16535
[1206594300,1],
 
16536
[1206615900,1],
 
16537
[1206637500,1],
 
16538
[1206648600,1],
 
16539
[1206652200,1],
 
16540
[1206655800,1],
 
16541
[1206659100,1],
 
16542
[1206663000,1],
 
16543
[1206666600,1],
 
16544
[1206680700,1],
 
16545
[1206702300,1],
 
16546
[1206723900,1],
 
16547
[1206735000,1],
 
16548
[1206738600,1],
 
16549
[1206742200,1],
 
16550
[1206745500,1],
 
16551
[1206749400,1],
 
16552
[1206753000,1],
 
16553
[1206767100,1],
 
16554
[1206788700,1],
 
16555
[1206810300,1],
 
16556
[1206831900,1],
 
16557
[1206853500,1],
 
16558
[1206875100,1],
 
16559
[1206896700,1],
 
16560
[1206918300,1],
 
16561
[1206939900,1],
 
16562
[1206961500,1],
 
16563
[1206983100,1],
 
16564
[1206994200,1],
 
16565
[1206997800,1],
 
16566
[1207001400,1],
 
16567
[1207004700,1],
 
16568
[1207008600,1],
 
16569
[1207012200,1],
 
16570
[1207015800,1],
 
16571
[1207026300,1],
 
16572
[1207069500,1],
 
16573
[1207080600,1],
 
16574
[1207084200,1],
 
16575
[1207087800,1],
 
16576
[1207091100,1],
 
16577
[1207095000,1],
 
16578
[1207098600,1],
 
16579
[1207102200,1],
 
16580
[1207112700,1],
 
16581
[1207134300,1],
 
16582
[1207155900,1],
 
16583
[1207167000,1],
 
16584
[1207170600,1],
 
16585
[1207174200,1],
 
16586
[1207177500,1],
 
16587
[1207181400,1],
 
16588
[1207185000,1],
 
16589
[1207188600,1],
 
16590
[1207199100,1],
 
16591
[1207220700,1],
 
16592
[1207242300,1],
 
16593
[1207263900,1],
 
16594
[1207285500,1],
 
16595
[1207307100,1],
 
16596
[1207328700,1],
 
16597
[1207350300,1],
 
16598
[1207371900,1],
 
16599
[1207393500,1],
 
16600
[1207415100,1],
 
16601
[1207436700,1],
 
16602
[1207458300,1],
 
16603
[1207501500,1],
 
16604
[1207523100,1],
 
16605
[1207544700,1],
 
16606
[1207566300,1],
 
16607
[1207587900,1],
 
16608
[1207609500,1],
 
16609
[1207631100,1],
 
16610
[1207652700,1],
 
16611
[1207674300,1],
 
16612
[1207695900,1],
 
16613
[1207717500,1],
 
16614
[1207739100,1],
 
16615
[1207760700,1],
 
16616
[1207782300,1],
 
16617
[1207803900,1],
 
16618
[1207825500,1],
 
16619
[1207847100,1],
 
16620
[1207868700,1],
 
16621
[1207890300,1],
 
16622
[1207911900,1],
 
16623
[1207933500,1],
 
16624
[1207955100,1],
 
16625
[1207976700,1],
 
16626
[1207998300,1],
 
16627
[1208019900,1],
 
16628
[1208041500,1],
 
16629
[1208063100,1],
 
16630
[1208084700,1],
 
16631
[1208106300,1],
 
16632
[1208127900,1],
 
16633
[1208149500,1],
 
16634
[1208171100,1],
 
16635
[1208192700,1],
 
16636
[1208214300,1],
 
16637
[1208235900,1],
 
16638
[1208257500,1],
 
16639
[1208279100,1],
 
16640
[1208300700,1],
 
16641
[1208322300,1],
 
16642
[1208343900,1],
 
16643
[1208366400,1],
 
16644
[1208387100,1],
 
16645
[1208408700,1],
 
16646
[1208430300,1],
 
16647
[1208451900,1],
 
16648
[1208473500,1],
 
16649
[1208495100,1],
 
16650
[1208516700,1],
 
16651
[1208538300,1],
 
16652
[1208559900,1],
 
16653
[1208581500,1],
 
16654
[1208603100,1],
 
16655
[1208624700,1],
 
16656
[1208646300,1],
 
16657
[1208667900,1],
 
16658
[1208689500,1],
 
16659
[1208711100,1],
 
16660
[1208732700,1],
 
16661
[1208754300,1],
 
16662
[1208775900,1],
 
16663
[1208797500,1],
 
16664
[1208819100,1],
 
16665
[1208840700,1],
 
16666
[1208862300,1],
 
16667
[1208883900,1],
 
16668
[1208905500,1],
 
16669
[1208927100,1],
 
16670
[1208948700,1],
 
16671
[1208970300,1],
 
16672
[1208991900,1],
 
16673
[1209013500,1],
 
16674
[1209035100,1],
 
16675
[1209056700,1],
 
16676
[1209078300,1],
 
16677
[1209099900,1],
 
16678
[1209121500,1],
 
16679
[1209143100,1],
 
16680
[1209164700,1],
 
16681
[1209186300,1],
 
16682
[1209207900,1],
 
16683
[1209229500,1],
 
16684
[1209251100,1],
 
16685
[1209272700,1],
 
16686
[1209294300,1],
 
16687
[1209315900,1],
 
16688
[1209337500,1],
 
16689
[1209359100,1],
 
16690
[1209380700,1],
 
16691
[1209402300,1],
 
16692
[1209423900,1],
 
16693
[1209445500,1],
 
16694
[1209467100,1],
 
16695
[1209488700,1],
 
16696
[1209510900,1],
 
16697
[1209531900,1],
 
16698
[1209553500,1],
 
16699
[1209575100,1],
 
16700
[1209596700,1],
 
16701
[1209618300,1],
 
16702
[1209639900,1],
 
16703
[1209661500,1],
 
16704
[1209683100,1],
 
16705
[1209704700,1],
 
16706
[1209726300,1],
 
16707
[1209747900,1],
 
16708
[1209769500,1],
 
16709
[1209791100,1],
 
16710
[1209812700,1],
 
16711
[1209834300,1],
 
16712
[1209855900,1],
 
16713
[1209877500,1],
 
16714
[1209899100,1],
 
16715
[1209920700,1],
 
16716
[1209942300,1],
 
16717
[1209963900,1],
 
16718
[1209985500,1],
 
16719
[1210007100,1],
 
16720
[1210028700,1],
 
16721
[1210050300,1],
 
16722
[1210093500,1],
 
16723
[1210115100,1],
 
16724
[1210133100,1],
 
16725
[1210158300,1],
 
16726
[1210179900,1],
 
16727
[1210201500,1],
 
16728
[1210223100,1],
 
16729
[1210244700,1],
 
16730
[1210266300,1],
 
16731
[1210287900,1],
 
16732
[1210309500,1],
 
16733
[1210331100,1],
 
16734
[1210374300,1],
 
16735
[1210395900,1],
 
16736
[1210417500,1],
 
16737
[1210460700,1],
 
16738
[1210482300,1],
 
16739
[1210503900,1],
 
16740
[1210525500,1],
 
16741
[1210547100,1],
 
16742
[1210568700,1],
 
16743
[1210590300,1],
 
16744
[1210611900,1],
 
16745
[1210633500,1],
 
16746
[1210655100,1],
 
16747
[1210676700,1],
 
16748
[1210698300,1],
 
16749
[1210741500,1],
 
16750
[1210784700,1],
 
16751
[1210827900,1],
 
16752
[1210849500,1],
 
16753
[1210871100,1],
 
16754
[1210914300,1],
 
16755
[1210935900,1],
 
16756
[1211486400,1],
 
16757
[1211519100,1],
 
16758
[1211540700,1],
 
16759
[1211578500,1],
 
16760
[1211605500,1],
 
16761
[1211627100,1],
 
16762
[1211648700,1],
 
16763
[1211688300,1],
 
16764
[1211713500,1],
 
16765
[1211735100,1],
 
16766
[1211778300,1],
 
16767
[1211821500,1],
 
16768
[1211843100,1],
 
16769
[1211864700,1],
 
16770
[1211886300,1],
 
16771
[1211907900,1],
 
16772
[1211929500,1],
 
16773
[1211972700,1],
 
16774
[1211990700,1],
 
16775
[1212015900,1],
 
16776
[1212037500,1],
 
16777
[1212059100,1],
 
16778
[1212080700,1],
 
16779
[1212102300,1],
 
16780
[1212123900,1],
 
16781
[1212145500,1],
 
16782
[1212163500,1],
 
16783
[1212188700,1],
 
16784
[1212210300,1],
 
16785
[1212231900,1],
 
16786
[1212253500,1],
 
16787
[1212275100,1],
 
16788
[1212296700,1],
 
16789
[1212318300,1],
 
16790
[1212339900,1],
 
16791
[1212361500,1],
 
16792
[1212395700,1],
 
16793
[1212426300,1],
 
16794
[1212447900,1],
 
16795
[1212469500,1],
 
16796
[1212491100,1],
 
16797
[1212512700,1],
 
16798
[1212534300,1],
 
16799
[1212555900,1],
 
16800
[1212577500,1],
 
16801
[1212599100,1],
 
16802
[1212620700,1],
 
16803
[1212642300,1],
 
16804
[1212660300,1],
 
16805
[1212685500,1],
 
16806
[1212707100,1],
 
16807
[1212728700,1],
 
16808
[1212750300,1],
 
16809
[1212771900,1],
 
16810
[1212793500,1],
 
16811
[1212815100,1],
 
16812
[1212836700,1],
 
16813
[1212858300,1],
 
16814
[1212879900,1],
 
16815
[1212901500,1],
 
16816
[1212923100,1],
 
16817
[1212944700,1],
 
16818
[1212966300,1],
 
16819
[1212987900,1],
 
16820
[1213009500,1],
 
16821
[1213034700,1],
 
16822
[1213052700,1],
 
16823
[1213074300,1],
 
16824
[1213095900,1],
 
16825
[1213117500,1],
 
16826
[1213160700,1],
 
16827
[1213182300,1],
 
16828
[1213203900,1],
 
16829
[1213224600,1],
 
16830
[1213247100,1],
 
16831
[1213268700,1],
 
16832
[1213290300,1],
 
16833
[1213311900,1],
 
16834
[1213333500,1],
 
16835
[1213355100,1],
 
16836
[1213376700,1],
 
16837
[1213391100,1],
 
16838
[1213422600,1],
 
16839
[1213441500,1],
 
16840
[1213463100,1],
 
16841
[1213484700,1],
 
16842
[1213506300,1],
 
16843
[1213527900,1],
 
16844
[1213549500,1],
 
16845
[1213571100,1],
 
16846
[1213592700,1],
 
16847
[1213614300,1],
 
16848
[1213635900,1],
 
16849
[1213657500,1],
 
16850
[1213679100,1],
 
16851
[1213700700,1],
 
16852
[1213743900,1],
 
16853
[1213765500,1],
 
16854
[1213787100,1],
 
16855
[1213830300,1],
 
16856
[1213851900,1],
 
16857
[1213873500,1],
 
16858
[1213895100,1],
 
16859
[1213913100,1],
 
16860
[1213938300,1],
 
16861
[1213959900,1],
 
16862
[1213985100,1],
 
16863
[1214003100,1],
 
16864
[1214024700,1],
 
16865
[1214046300,1],
 
16866
[1214067900,1],
 
16867
[1214089500,1],
 
16868
[1214111100,1],
 
16869
[1214132700,1],
 
16870
[1214154300,1],
 
16871
[1214175900,1],
 
16872
[1214197500,1],
 
16873
[1214219100,1],
 
16874
[1214241300,1],
 
16875
[1214262300,1],
 
16876
[1214283900,1],
 
16877
[1214305500,1],
 
16878
[1214327100,1],
 
16879
[1214348700,1],
 
16880
[1214370300,1],
 
16881
[1214391900,1],
 
16882
[1214413500,1],
 
16883
[1214435100,1],
 
16884
[1214456700,1],
 
16885
[1214478300,1],
 
16886
[1214499900,1],
 
16887
[1214521500,1],
 
16888
[1214543100,1],
 
16889
[1214564700,1],
 
16890
[1214586300,1],
 
16891
[1214607900,1],
 
16892
[1214629500,1],
 
16893
[1214651100,1],
 
16894
[1214672700,1],
 
16895
[1214694300,1],
 
16896
[1214715900,1],
 
16897
[1214737500,1],
 
16898
[1214759100,1],
 
16899
[1214780700,1],
 
16900
[1214802300,1],
 
16901
[1214823900,1],
 
16902
[1214845500,1],
 
16903
[1214867100,1],
 
16904
[1214888700,1],
 
16905
[1214910300,1],
 
16906
[1214953500,1],
 
16907
[1214975100,1],
 
16908
[1214996700,1],
 
16909
[1215018300,1],
 
16910
[1215039900,1],
 
16911
[1215061500,1],
 
16912
[1215083100,1],
 
16913
[1215104700,1],
 
16914
[1215126300,1],
 
16915
[1215147900,1],
 
16916
[1215169500,1],
 
16917
[1215191100,1],
 
16918
[1215212700,1],
 
16919
[1215234300,1],
 
16920
[1215255900,1],
 
16921
[1215277500,1],
 
16922
[1215299100,1],
 
16923
[1215320700,1],
 
16924
[1215342300,1],
 
16925
[1215363900,1],
 
16926
[1215385500,1],
 
16927
[1215407100,1],
 
16928
[1215428700,1],
 
16929
[1215450300,1],
 
16930
[1215471900,1],
 
16931
[1215493500,1],
 
16932
[1215515100,1],
 
16933
[1215543900,1],
 
16934
[1215579900,1],
 
16935
[1215601500,1],
 
16936
[1215623100,1],
 
16937
[1215648300,1],
 
16938
[1215666300,1],
 
16939
[1215691500,1],
 
16940
[1215734700,1],
 
16941
[1215752700,1],
 
16942
[1215774300,1],
 
16943
[1215795900,1],
 
16944
[1215817500,1],
 
16945
[1215839100,1],
 
16946
[1215860700,1],
 
16947
[1215882300,1],
 
16948
[1215903900,1],
 
16949
[1215925500,1],
 
16950
[1215947100,1],
 
16951
[1215968700,1],
 
16952
[1215990300,1],
 
16953
[1216011900,1],
 
16954
[1216037100,1],
 
16955
[1216055100,1],
 
16956
[1216073400,1],
 
16957
[1216098300,1],
 
16958
[1216119900,1],
 
16959
[1216141500,1],
 
16960
[1216163100,1],
 
16961
[1216184700,1],
 
16962
[1216206300,1],
 
16963
[1216227900,1],
 
16964
[1216249500,1],
 
16965
[1216271100,1],
 
16966
[1216292700,1],
 
16967
[1216314300,1],
 
16968
[1216332600,1],
 
16969
[1216357500,1],
 
16970
[1216379100,1],
 
16971
[1216400700,1],
 
16972
[1216418700,1],
 
16973
[1216443900,1],
 
16974
[1216465500,1],
 
16975
[1216487100,1],
 
16976
[1216512300,1],
 
16977
[1216530300,1],
 
16978
[1216551900,1],
 
16979
[1216573500,1],
 
16980
[1216595100,1],
 
16981
[1216616700,1],
 
16982
[1216638300,1],
 
16983
[1216659900,1],
 
16984
[1216681500,1],
 
16985
[1216703100,1],
 
16986
[1216724700,1],
 
16987
[1216749900,1],
 
16988
[1216789500,1],
 
16989
[1216814700,1],
 
16990
[1216832700,1],
 
16991
[1216854300,1],
 
16992
[1216875900,1],
 
16993
[1216897500,1],
 
16994
[1216919100,1],
 
16995
[1216940700,1],
 
16996
[1216962300,1],
 
16997
[1216983900,1],
 
16998
[1217048700,1],
 
16999
[1217070300,1],
 
17000
[1217091900,1],
 
17001
[1217135100,1],
 
17002
[1217156700,1],
 
17003
[1217178300,1],
 
17004
[1217199900,1],
 
17005
[1217221500,1],
 
17006
[1217243100,1],
 
17007
[1217265600,1],
 
17008
[1217286300,1],
 
17009
[1217307900,1],
 
17010
[1217329500,1],
 
17011
[1217351100,1],
 
17012
[1217415900,1],
 
17013
[1217437500,1],
 
17014
[1217480700,1],
 
17015
[1217523900,1],
 
17016
[1217545500,1],
 
17017
[1217567100,1],
 
17018
[1217571300,1],
 
17019
[1217616600,1],
 
17020
[1217700300,1],
 
17021
[1217761500,1],
 
17022
[1217783100,1],
 
17023
[1217822700,1],
 
17024
[1217891100,1],
 
17025
[1217955900,1],
 
17026
[1217973900,1],
 
17027
[1218020700,1],
 
17028
[1218063900,1],
 
17029
[1218085500,1],
 
17030
[1218132300,1],
 
17031
[1218146700,1],
 
17032
[1218168300,1],
 
17033
[1218193500,1],
 
17034
[1218218700,1],
 
17035
[1219291500,1],
 
17036
[1219316700,1],
 
17037
[1219341900,1],
 
17038
[1219359900,1],
 
17039
[1219377900,1],
 
17040
[1219403100,1],
 
17041
[1219428300,1],
 
17042
[1219449600,1],
 
17043
[1219489500,1],
 
17044
[1219511100,1],
 
17045
[1219575900,1],
 
17046
[1219597500,1],
 
17047
[1219662300,1],
 
17048
[1219705500,1],
 
17049
[1219748700,1],
 
17050
[1219770300,1],
 
17051
[1219835100,1],
 
17052
[1219921500,1],
 
17053
[1219943100,1],
 
17054
[1220007900,1],
 
17055
[1220061900,1],
 
17056
[1220094300,1],
 
17057
[1220115900,1],
 
17058
[1220137500,1],
 
17059
[1220180700,1],
 
17060
[1220234700,1],
 
17061
[1220288700,1],
 
17062
[1220306700,1],
 
17063
[1220331900,1],
 
17064
[1220353500,1],
 
17065
[1220396700,1],
 
17066
[1220414700,1],
 
17067
[1220439900,1],
 
17068
[1220461500,1],
 
17069
[1220504700,1],
 
17070
[1220526300,1],
 
17071
[1220547900,1],
 
17072
[1220569500,1],
 
17073
[1220591100,1],
 
17074
[1220612700,1],
 
17075
[1220634300,1],
 
17076
[1220677500,1],
 
17077
[1220699100,1],
 
17078
[1220720700,1],
 
17079
[1220763900,1],
 
17080
[1220785500,1],
 
17081
[1220807100,1],
 
17082
[1220828700,1],
 
17083
[1220850300,1],
 
17084
[1220871900,1],
 
17085
[1220893500,1],
 
17086
[1220915100,1],
 
17087
[1220936700,1],
 
17088
[1220958300,1],
 
17089
[1220976300,1],
 
17090
[1221001500,1],
 
17091
[1221044700,1],
 
17092
[1221066300,1],
 
17093
[1221087900,1],
 
17094
[1221109500,1],
 
17095
[1221152700,1],
 
17096
[1221174300,1],
 
17097
[1221195900,1],
 
17098
[1221217500,1],
 
17099
[1221235500,1],
 
17100
[1221264300,1],
 
17101
[1221282300,1],
 
17102
[1221303900,1],
 
17103
[1221325500,1],
 
17104
[1221347100,1],
 
17105
[1221368700,1],
 
17106
[1221390300,1],
 
17107
[1221411900,1],
 
17108
[1221433500,1],
 
17109
[1221455100,1],
 
17110
[1221476700,1],
 
17111
[1221499500,1],
 
17112
[1221522000,1],
 
17113
[1221541500,1],
 
17114
[1221563100,1],
 
17115
[1221581100,1],
 
17116
[1221606300,1],
 
17117
[1221627900,1],
 
17118
[1221671100,1],
 
17119
[1221692700,1],
 
17120
[1221735900,1],
 
17121
[1221757500,1],
 
17122
[1221779100,1],
 
17123
[1221800700,1],
 
17124
[1221822300,1],
 
17125
[1221865500,1],
 
17126
[1221887100,1],
 
17127
[1221908700,1],
 
17128
[1221930300,1],
 
17129
[1221951900,1],
 
17130
[1221973500,1],
 
17131
[1221995100,1],
 
17132
[1222016700,1],
 
17133
[1222038300,1],
 
17134
[1222081500,1],
 
17135
[1222103100,1],
 
17136
[1222124700,1],
 
17137
[1222146300,1],
 
17138
[1222189500,1],
 
17139
[1222211100,1],
 
17140
[1222232700,1],
 
17141
[1222254300,1],
 
17142
[1222297500,1],
 
17143
[1222340700,1],
 
17144
[1222362300,1],
 
17145
[1222383900,1],
 
17146
[1222405500,1],
 
17147
[1222427100,1],
 
17148
[1222448700,1],
 
17149
[1222470300,1],
 
17150
[1222491900,1],
 
17151
[1222556700,1],
 
17152
[1222578300,1],
 
17153
[1222599900,1],
 
17154
[1222621500,1],
 
17155
[1222643100,1],
 
17156
[1222664700,1],
 
17157
[1222686300,1],
 
17158
[1222707900,1],
 
17159
[1222729500,1],
 
17160
[1222772700,1],
 
17161
[1222794300,1],
 
17162
[1222815900,1],
 
17163
[1222859100,1],
 
17164
[1222880700,1],
 
17165
[1222902300,1],
 
17166
[1222945500,1],
 
17167
[1222967100,1],
 
17168
[1222988700,1],
 
17169
[1223010300,1],
 
17170
[1223053500,1],
 
17171
[1223075100,1],
 
17172
[1223096700,1],
 
17173
[1223139900,1],
 
17174
[1223161500,1],
 
17175
[1223183100,1],
 
17176
[1223201100,1],
 
17177
[1223226300,1],
 
17178
[1223244300,1],
 
17179
[1223269500,1],
 
17180
[1223312700,1],
 
17181
[1223334300,1],
 
17182
[1223355900,1],
 
17183
[1223399100,1],
 
17184
[1223442300,1],
 
17185
[1223485500,1],
 
17186
[1223503500,1],
 
17187
[1223550300,1],
 
17188
[1223571900,1],
 
17189
[1223615100,1],
 
17190
[1223658300,1],
 
17191
[1223701500,1],
 
17192
[1223723100,1],
 
17193
[1223744700,1],
 
17194
[1223766300,1],
 
17195
[1223787900,1],
 
17196
[1223809500,1],
 
17197
[1223831100,1],
 
17198
[1223874300,1],
 
17199
[1223917500,1],
 
17200
[1223939100,1],
 
17201
[1223960700,1],
 
17202
[1224003900,1],
 
17203
[1224047100,1],
 
17204
[1224090300,1],
 
17205
[1224133500,1],
 
17206
[1224155100,1],
 
17207
[1224176700,1],
 
17208
[1224198300,1],
 
17209
[1224219900,1],
 
17210
[1224241500,1],
 
17211
[1224263100,1],
 
17212
[1224284700,1],
 
17213
[1224306300,1],
 
17214
[1224327900,1],
 
17215
[1224349500,1],
 
17216
[1224371100,1],
 
17217
[1224392700,1],
 
17218
[1224414300,1],
 
17219
[1224435900,1],
 
17220
[1224457500,1],
 
17221
[1224500700,1],
 
17222
[1224543900,1],
 
17223
[1224565500,1],
 
17224
[1224608700,1],
 
17225
[1224638100,1],
 
17226
[1224655500,1],
 
17227
[1224695100,1],
 
17228
[1224716700,1],
 
17229
[1224738300,1],
 
17230
[1224759900,1],
 
17231
[1224781500,1],
 
17232
[1224806700,1],
 
17233
[1224824700,1],
 
17234
[1224846300,1],
 
17235
[1224867900,1],
 
17236
[1224889500,1],
 
17237
[1224911100,1],
 
17238
[1224932700,1],
 
17239
[1224954300,1],
 
17240
[1224975900,1],
 
17241
[1224997500,1],
 
17242
[1225019100,1],
 
17243
[1225040700,1],
 
17244
[1225062300,1],
 
17245
[1225083900,1],
 
17246
[1225127100,1],
 
17247
[1225170300,1],
 
17248
[1225235100,1],
 
17249
[1225278300,1],
 
17250
[1225299900,1],
 
17251
[1225321500,1],
 
17252
[1225343100,1],
 
17253
[1225364700,1],
 
17254
[1225386300,1],
 
17255
[1225429500,1],
 
17256
[1225472700,1],
 
17257
[1225494300,1],
 
17258
[1225515900,1],
 
17259
[1225537500,1],
 
17260
[1225559100,1],
 
17261
[1225577100,1],
 
17262
[1225605900,1],
 
17263
[1225627500,1],
 
17264
[1225649100,1],
 
17265
[1225670700,1],
 
17266
[1225692300,1],
 
17267
[1225735500,1],
 
17268
[1225757100,1],
 
17269
[1225778700,1],
 
17270
[1225821900,1],
 
17271
[1225843500,1],
 
17272
[1225886700,1],
 
17273
[1225911900,1],
 
17274
[1225969500,1],
 
17275
[1225994700,1],
 
17276
[1226016300,1],
 
17277
[1226055900,1],
 
17278
[1226081100,1],
 
17279
[1226124300,1],
 
17280
[1226149500,1],
 
17281
[1226167500,1],
 
17282
[1226189100,1],
 
17283
[1226235900,1],
 
17284
[1226282700,1],
 
17285
[1226496900,1],
 
17286
[1227160500,1],
 
17287
[1227269100,1],
 
17288
[1227290700,1],
 
17289
[1227333900,1],
 
17290
[1227373500,1],
 
17291
[1227402300,1],
 
17292
[1227445500,1],
 
17293
[1227463500,1],
 
17294
[1227488700,1],
 
17295
[1227524700,1],
 
17296
[1227542700,1],
 
17297
[1227567900,1],
 
17298
[1227593100,1],
 
17299
[1227614700,1],
 
17300
[1227639900,1],
 
17301
[1227679500,1],
 
17302
[1227701100,1],
 
17303
[1227722700,1],
 
17304
[1227765900,1],
 
17305
[1227787500,1],
 
17306
[1227830700,1],
 
17307
[1227852300,1],
 
17308
[1227891900,1],
 
17309
[1227917100,1],
 
17310
[1227938700,1],
 
17311
[1227960300,1],
 
17312
[1227978300,1],
 
17313
[1228007100,1],
 
17314
[1228025100,1],
 
17315
[1228046700,1],
 
17316
[1228064700,1],
 
17317
[1228089900,1],
 
17318
[1228111500,1],
 
17319
[1228133100,1],
 
17320
[1228154700,1],
 
17321
[1228197900,1],
 
17322
[1228219500,1],
 
17323
[1228241100,1],
 
17324
[1228284300,1],
 
17325
[1228327500,1],
 
17326
[1228349100,1],
 
17327
[1228392300,1],
 
17328
[1228413900,1],
 
17329
[1228457100,1],
 
17330
[1228478700,1],
 
17331
[1228500300,1],
 
17332
[1228543500,1],
 
17333
[1228565100,1],
 
17334
[1228586700,1],
 
17335
[1228608300,1],
 
17336
[1228626300,1],
 
17337
[1228651500,1],
 
17338
[1228673100,1],
 
17339
[1228694700,1],
 
17340
[1228716300,1],
 
17341
[1228737900,1],
 
17342
[1228759500,1],
 
17343
[1228802700,1],
 
17344
[1228824300,1],
 
17345
[1228845900,1],
 
17346
[1228867500,1],
 
17347
[1228910700,1],
 
17348
[1228932300,1],
 
17349
[1228953900,1],
 
17350
[1228975500,1],
 
17351
[1228997100,1],
 
17352
[1229018700,1],
 
17353
[1229061900,1],
 
17354
[1229083500,1],
 
17355
[1229105100,1],
 
17356
[1229126700,1],
 
17357
[1229148300,1],
 
17358
[1229169900,1],
 
17359
[1229191500,1],
 
17360
[1229213100,1],
 
17361
[1229234700,1],
 
17362
[1229256300,1],
 
17363
[1229277900,1],
 
17364
[1229299500,1],
 
17365
[1229321100,1],
 
17366
[1229342700,1],
 
17367
[1229364300,1],
 
17368
[1229385900,1],
 
17369
[1229407500,1],
 
17370
[1229425500,1],
 
17371
[1229450700,1],
 
17372
[1229475900,1],
 
17373
[1229515500,1],
 
17374
[1229537100,1],
 
17375
[1229580300,1],
 
17376
[1229601900,1],
 
17377
[1229645100,1],
 
17378
[1229666700,1],
 
17379
[1229688300,1],
 
17380
[1229709900,1],
 
17381
[1229753100,1],
 
17382
[1229774700,1],
 
17383
[1229796300,1],
 
17384
[1229839500,1],
 
17385
[1229861100,1],
 
17386
[1229882700,1],
 
17387
[1229904300,1],
 
17388
[1229925900,1],
 
17389
[1229943900,1],
 
17390
[1229969100,1],
 
17391
[1230012300,1],
 
17392
[1230055500,1],
 
17393
[1230098700,1],
 
17394
[1230120300,1],
 
17395
[1230141900,1],
 
17396
[1230181500,1],
 
17397
[1230203100,1],
 
17398
[1230228300,1],
 
17399
[1230249900,1],
 
17400
[1230271500,1],
 
17401
[1230289500,1],
 
17402
[1230314700,1],
 
17403
[1230357900,1],
 
17404
[1230375900,1],
 
17405
[1230401100,1],
 
17406
[1230422700,1],
 
17407
[1230444300,1],
 
17408
[1230465900,1],
 
17409
[1230487500,1],
 
17410
[1230509100,1],
 
17411
[1230530700,1],
 
17412
[1230552300,1],
 
17413
[1230573900,1],
 
17414
[1230595500,1],
 
17415
[1230617100,1],
 
17416
[1230638700,1],
 
17417
[1230660300,1],
 
17418
[1230681900,1],
 
17419
[1230721500,1],
 
17420
[1230746700,1],
 
17421
[1230768300,1],
 
17422
[1230811500,1],
 
17423
[1230833100,1],
 
17424
[1230854700,1],
 
17425
[1230876300,1],
 
17426
[1230897900,1],
 
17427
[1230919500,1],
 
17428
[1230962700,1],
 
17429
[1230984300,1],
 
17430
[1231005900,1],
 
17431
[1231027500,1],
 
17432
[1231049100,1],
 
17433
[1231070700,1],
 
17434
[1231092300,1],
 
17435
[1231113900,1],
 
17436
[1231135500,1],
 
17437
[1231153500,1],
 
17438
[1231178700,1],
 
17439
[1231200300,1],
 
17440
[1231221900,1],
 
17441
[1231239900,1],
 
17442
[1231265100,1],
 
17443
[1231308300,1],
 
17444
[1231329900,1],
 
17445
[1231347900,1],
 
17446
[1231376700,1],
 
17447
[1231394700,1],
 
17448
[1231416300,1],
 
17449
[1231437900,1],
 
17450
[1231481100,1],
 
17451
[1231499100,1],
 
17452
[1231524300,1],
 
17453
[1231567500,1],
 
17454
[1231610700,1],
 
17455
[1231653900,1],
 
17456
[1231675500,1],
 
17457
[1231697100,1],
 
17458
[1231718700,1],
 
17459
[1231740300,1],
 
17460
[1231761900,1],
 
17461
[1231848300,1],
 
17462
[1231869900,1],
 
17463
[1231891500,1],
 
17464
[1231909500,1],
 
17465
[1231934700,1],
 
17466
[1231956300,1],
 
17467
[1231999500,1],
 
17468
[1232042700,1],
 
17469
[1232064300,1],
 
17470
[1232085900,1],
 
17471
[1232107500,1],
 
17472
[1232172300,1],
 
17473
[1232193900,1],
 
17474
[1232215500,1],
 
17475
[1232237100,1],
 
17476
[1232258700,1],
 
17477
[1232280300,1],
 
17478
[1232301900,1],
 
17479
[1232323500,1],
 
17480
[1232345100,1],
 
17481
[1232366700,1],
 
17482
[1232388300,1],
 
17483
[1232413500,1],
 
17484
[1232431500,1],
 
17485
[1232453100,1],
 
17486
[1232474700,1],
 
17487
[1232499900,1],
 
17488
[1232539500,1],
 
17489
[1232557500,1],
 
17490
[1232582700,1],
 
17491
[1232625900,1],
 
17492
[1232647500,1],
 
17493
[1232669100,1],
 
17494
[1232690700,1],
 
17495
[1232712300,1],
 
17496
[1232733900,1],
 
17497
[1232755500,1],
 
17498
[1232777100,1],
 
17499
[1232798700,1],
 
17500
[1232820300,1],
 
17501
[1232841900,1],
 
17502
[1232859900,1],
 
17503
[1232906700,1],
 
17504
[1232971500,1],
 
17505
[1232993100,1],
 
17506
[1233036300,1],
 
17507
[1233079500,1],
 
17508
[1233119100,1],
 
17509
[1233144300,1],
 
17510
[1233165900,1],
 
17511
[1233205500,1],
 
17512
[1233230700,1],
 
17513
[1233252300,1],
 
17514
[1233291900,1],
 
17515
[1233317100,1],
 
17516
[1233338700,1],
 
17517
[1233363900,1],
 
17518
[1233381900,1],
 
17519
[1233403500,1],
 
17520
[1233425100,1],
 
17521
[1233450300,1],
 
17522
[1233489900,1],
 
17523
[1233576300,1],
 
17524
[1233597900,1],
 
17525
[1233641100,1],
 
17526
[1233684300,1],
 
17527
[1233749100,1],
 
17528
[1233774300,1],
 
17529
[1233803100,1],
 
17530
[1233846300,1],
 
17531
[1233889500,1],
 
17532
[1233921900,1],
 
17533
[1233943500,1],
 
17534
[1233993900,1],
 
17535
[1234656300,1],
 
17536
[1234699500,1],
 
17537
[1234721100,1],
 
17538
[1234753500,1],
 
17539
[1234807500,1],
 
17540
[1234829100,1],
 
17541
[1234872300,1],
 
17542
[1234926300,1],
 
17543
[1234958700,1],
 
17544
[1235001900,1],
 
17545
[1235045100,1],
 
17546
[1235066700,1],
 
17547
[1235272200,1],
 
17548
[1235282700,1],
 
17549
[1235300700,1],
 
17550
[1235325900,1],
 
17551
[1235343900,1],
 
17552
[1235369100,1],
 
17553
[1235390700,1],
 
17554
[1235415900,1],
 
17555
[1235455500,1],
 
17556
[1235477100,1],
 
17557
[1235498700,1],
 
17558
[1235520300,1],
 
17559
[1235541900,1],
 
17560
[1235585100,1],
 
17561
[1235606700,1],
 
17562
[1235628300,1],
 
17563
[1235649900,1],
 
17564
[1235671500,1],
 
17565
[1235693100,1],
 
17566
[1235714700,1],
 
17567
[1235732700,1],
 
17568
[1235754300,1],
 
17569
[1235779500,1],
 
17570
[1235801100,1],
 
17571
[1235844300,1],
 
17572
[1235865900,1],
 
17573
[1235887500,1],
 
17574
[1235909100,1],
 
17575
[1235927100,1],
 
17576
[1235952300,1],
 
17577
[1235973900,1],
 
17578
[1235995500,1],
 
17579
[1236020700,1],
 
17580
[1236060300,1],
 
17581
[1236081900,1],
 
17582
[1236107100,1],
 
17583
[1236125100,1],
 
17584
[1236150300,1],
 
17585
[1236171900,1],
 
17586
[1236189900,1],
 
17587
[1236211500,1],
 
17588
[1236233100,1],
 
17589
[1236301500,1],
 
17590
[1236319500,1],
 
17591
[1236341100,1],
 
17592
[1236362700,1],
 
17593
[1236387600,1],
 
17594
[1236405900,1],
 
17595
[1236423900,1],
 
17596
[1236449100,1],
 
17597
[1236470700,1],
 
17598
[1236492300,1],
 
17599
[1236510300,1],
 
17600
[1236531900,1],
 
17601
[1236553500,1],
 
17602
[1236575100,1],
 
17603
[1236593100,1],
 
17604
[1236618300,1],
 
17605
[1236639900,1],
 
17606
[1236661500,1],
 
17607
[1236683100,1],
 
17608
[1236726300,1],
 
17609
[1236769500,1],
 
17610
[1236787500,1],
 
17611
[1236834300,1],
 
17612
[1236877500,1],
 
17613
[1236899100,1],
 
17614
[1236920700,1],
 
17615
[1236942300,1],
 
17616
[1236985500,1],
 
17617
[1237007100,1],
 
17618
[1237046700,1],
 
17619
[1237071900,1],
 
17620
[1237093500,1],
 
17621
[1237136700,1],
 
17622
[1237154700,1],
 
17623
[1237179900,1],
 
17624
[1237201500,1],
 
17625
[1237223100,1],
 
17626
[1237244700,1],
 
17627
[1237266300,1],
 
17628
[1237309500,1],
 
17629
[1237331100,1],
 
17630
[1237395900,1],
 
17631
[1237417500,1],
 
17632
[1237482300,1],
 
17633
[1237503900,1],
 
17634
[1237525500,1],
 
17635
[1237568700,1],
 
17636
[1237590300,1],
 
17637
[1237611900,1],
 
17638
[1237651500,1],
 
17639
[1237676700,1],
 
17640
[1237698300,1],
 
17641
[1237719900,1],
 
17642
[1237737900,1],
 
17643
[1237763100,1],
 
17644
[1237784700,1],
 
17645
[1237827900,1],
 
17646
[1237849500,1],
 
17647
[1237892700,1],
 
17648
[1237914300,1],
 
17649
[1237935900,1],
 
17650
[1237979100,1],
 
17651
[1238022300,1],
 
17652
[1238043900,1],
 
17653
[1238087100,1],
 
17654
[1238130300,1],
 
17655
[1238173500,1],
 
17656
[1238216700,1],
 
17657
[1238238300,1],
 
17658
[1238259900,1],
 
17659
[1238281500,1],
 
17660
[1238303100,1],
 
17661
[1238324700,1],
 
17662
[1238346300,1],
 
17663
[1238367900,1],
 
17664
[1238389500,1],
 
17665
[1238411100,1],
 
17666
[1238432700,1],
 
17667
[1238454300,1],
 
17668
[1238475900,1],
 
17669
[1238497500,1],
 
17670
[1238519100,1],
 
17671
[1238562300,1],
 
17672
[1238583900,1],
 
17673
[1238605500,1],
 
17674
[1238648700,1],
 
17675
[1238670300,1],
 
17676
[1238713500,1],
 
17677
[1238735100,1],
 
17678
[1238756700,1],
 
17679
[1238778300,1],
 
17680
[1238799900,1],
 
17681
[1238817900,1],
 
17682
[1238843100,1],
 
17683
[1238886300,1],
 
17684
[1238907900,1],
 
17685
[1238951100,1],
 
17686
[1238972700,1],
 
17687
[1238994300,1],
 
17688
[1239037500,1],
 
17689
[1239059100,1],
 
17690
[1239080700,1],
 
17691
[1239102300,1],
 
17692
[1239145500,1],
 
17693
[1239188700,1],
 
17694
[1239210300,1],
 
17695
[1239253500,1],
 
17696
[1239275100,1],
 
17697
[1239314700,1],
 
17698
[1239339900,1],
 
17699
[1239361500,1],
 
17700
[1239383100,1],
 
17701
[1239404700,1],
 
17702
[1239426300,1],
 
17703
[1239447900,1],
 
17704
[1239469500,1],
 
17705
[1239491100,1],
 
17706
[1239512700,1],
 
17707
[1239534300,1],
 
17708
[1239555900,1],
 
17709
[1239577500,1],
 
17710
[1239599100,1],
 
17711
[1239642300,1],
 
17712
[1239685500,1],
 
17713
[1239728700,1],
 
17714
[1239750300,1],
 
17715
[1239793500,1],
 
17716
[1239815100,1],
 
17717
[1239836700,1],
 
17718
[1239858300,1],
 
17719
[1239879900,1],
 
17720
[1239901500,1],
 
17721
[1239944700,1],
 
17722
[1239969900,1],
 
17723
[1239987900,1],
 
17724
[1240009500,1],
 
17725
[1240031100,1],
 
17726
[1240052700,1],
 
17727
[1240074300,1],
 
17728
[1240095900,1],
 
17729
[1240117500,1],
 
17730
[1240139100,1],
 
17731
[1240160700,1],
 
17732
[1240182300,1],
 
17733
[1240203900,1],
 
17734
[1240221900,1],
 
17735
[1240247100,1],
 
17736
[1240268700,1],
 
17737
[1240290300,1],
 
17738
[1240333500,1],
 
17739
[1240358700,1],
 
17740
[1240376700,1],
 
17741
[1240419900,1],
 
17742
[1240441500,1],
 
17743
[1240463100,1],
 
17744
[1240488300,1],
 
17745
[1240506300,1],
 
17746
[1240527900,1],
 
17747
[1240549500,1],
 
17748
[1240592700,1],
 
17749
[1240614300,1],
 
17750
[1240657500,1],
 
17751
[1240679100,1],
 
17752
[1240722300,1],
 
17753
[1240743900,1],
 
17754
[1240765500,1],
 
17755
[1240787100,1],
 
17756
[1240833900,1],
 
17757
[1240848300,1],
 
17758
[1240895100,1],
 
17759
[1240916700,1],
 
17760
[1240938300,1],
 
17761
[1240963500,1],
 
17762
[1241006700,1],
 
17763
[1241046300,1],
 
17764
[1241064300,1],
 
17765
[1241089500,1],
 
17766
[1241132700,1],
 
17767
[1241150700,1],
 
17768
[1241197500,1],
 
17769
[1241219100,1],
 
17770
[1241237100,1],
 
17771
[1241262300,1],
 
17772
[1241283900,1],
 
17773
[1241867100,1],
 
17774
[1241935500,1],
 
17775
[1241985900,1],
 
17776
[1241996700,1],
 
17777
[1242014700,1],
 
17778
[1242072300,1],
 
17779
[1242169500,1],
 
17780
[1242234300,1],
 
17781
[1242277500,1],
 
17782
[1242320700,1],
 
17783
[1242338700,1],
 
17784
[1242363900,1],
 
17785
[1242407100,1],
 
17786
[1242425100,1],
 
17787
[1242450300,1],
 
17788
[1242493500,1],
 
17789
[1242515100,1],
 
17790
[1242536700,1],
 
17791
[1242579900,1],
 
17792
[1242623100,1],
 
17793
[1242648300,1],
 
17794
[1242666300,1],
 
17795
[1242687900,1],
 
17796
[1242709500,1],
 
17797
[1242731100,1],
 
17798
[1242752700,1],
 
17799
[1242774300,1],
 
17800
[1242795900,1],
 
17801
[1242817500,1],
 
17802
[1242839100,1],
 
17803
[1242860700,1],
 
17804
[1242882300,1],
 
17805
[1242903900,1],
 
17806
[1242925500,1],
 
17807
[1242943500,1],
 
17808
[1242968700,1],
 
17809
[1242990300,1],
 
17810
[1243011900,1],
 
17811
[1243029900,1],
 
17812
[1243055100,1],
 
17813
[1243076700,1],
 
17814
[1243098300,1],
 
17815
[1243116300,1],
 
17816
[1243141500,1],
 
17817
[1243163100,1],
 
17818
[1243184700,1],
 
17819
[1243206300,1],
 
17820
[1243227900,1],
 
17821
[1243249500,1],
 
17822
[1243271100,1],
 
17823
[1243292700,1],
 
17824
[1243314300,1],
 
17825
[1243335900,1],
 
17826
[1243357500,1],
 
17827
[1243379100,1],
 
17828
[1243400700,1],
 
17829
[1243422300,1],
 
17830
[1243443900,1],
 
17831
[1243465500,1],
 
17832
[1243487100,1],
 
17833
[1243508700,1],
 
17834
[1243530300,1],
 
17835
[1243551900,1],
 
17836
[1243573500,1],
 
17837
[1243595100,1],
 
17838
[1243616700,1],
 
17839
[1243638300,1],
 
17840
[1243659900,1],
 
17841
[1243681500,1],
 
17842
[1243703100,1],
 
17843
[1243724700,1],
 
17844
[1243746300,1],
 
17845
[1243767900,1],
 
17846
[1243789500,1],
 
17847
[1243811100,1],
 
17848
[1243832700,1],
 
17849
[1243854300,1],
 
17850
[1243875900,1],
 
17851
[1243897500,1],
 
17852
[1243919100,1],
 
17853
[1243940700,1],
 
17854
[1243962300,1],
 
17855
[1243983900,1],
 
17856
[1244005500,1],
 
17857
[1244027100,1],
 
17858
[1244048700,1],
 
17859
[1244066700,1],
 
17860
[1244091900,1],
 
17861
[1244113500,1],
 
17862
[1244135100,1],
 
17863
[1244156700,1],
 
17864
[1244178300,1],
 
17865
[1244199900,1],
 
17866
[1244221500,1],
 
17867
[1244243100,1],
 
17868
[1244264700,1],
 
17869
[1244286300,1],
 
17870
[1244307900,1],
 
17871
[1244329500,1],
 
17872
[1244351100,1],
 
17873
[1244372700,1],
 
17874
[1244394300,1],
 
17875
[1244412300,1],
 
17876
[1244437500,1],
 
17877
[1244459100,1],
 
17878
[1244502300,1],
 
17879
[1244523900,1],
 
17880
[1244545500,1],
 
17881
[1244567100,1],
 
17882
[1244588700,1],
 
17883
[1244610300,1],
 
17884
[1244631900,1],
 
17885
[1244653500,1],
 
17886
[1244675100,1],
 
17887
[1244696700,1],
 
17888
[1244718300,1],
 
17889
[1244739900,1],
 
17890
[1244761500,1],
 
17891
[1244783100,1],
 
17892
[1244804700,1],
 
17893
[1244826300,1],
 
17894
[1244844300,1],
 
17895
[1244869500,1],
 
17896
[1244891100,1],
 
17897
[1244912700,1],
 
17898
[1244934300,1],
 
17899
[1244955900,1],
 
17900
[1244977500,1],
 
17901
[1244999100,1],
 
17902
[1245020700,1],
 
17903
[1245042300,1],
 
17904
[1245063900,1],
 
17905
[1245085500,1],
 
17906
[1245107100,1],
 
17907
[1245128700,1],
 
17908
[1245150300,1],
 
17909
[1245171900,1],
 
17910
[1245193500,1],
 
17911
[1245215100,1],
 
17912
[1245236700,1],
 
17913
[1245258300,1],
 
17914
[1245279900,1],
 
17915
[1245301500,1],
 
17916
[1245323100,1],
 
17917
[1245369900,1],
 
17918
[1245409500,1],
 
17919
[1245452700,1],
 
17920
[1245492300,1],
 
17921
[1245560700,1],
 
17922
[1245582300,1],
 
17923
[1245603900,1],
 
17924
[1245625500,1],
 
17925
[1245647100,1],
 
17926
[1245668700,1],
 
17927
[1245690300,1],
 
17928
[1245756900,1],
 
17929
[1245836700,1],
 
17930
[1245884700,1],
 
17931
[1245927900,1],
 
17932
[1245951600,1],
 
17933
[1245992700,1],
 
17934
[1246035900,1],
 
17935
[1246079100,1],
 
17936
[1246100700,1],
 
17937
[1246143900,1],
 
17938
[1246165500,1],
 
17939
[1246187100,1],
 
17940
[1246230300,1],
 
17941
[1246251900,1],
 
17942
[1246273500,1],
 
17943
[1246295100,1],
 
17944
[1246338300,1],
 
17945
[1246359900,1],
 
17946
[1246385100,1],
 
17947
[1246403100,1],
 
17948
[1246424700,1],
 
17949
[1246446300,1],
 
17950
[1246467900,1],
 
17951
[1246489500,1],
 
17952
[1246511100,1],
 
17953
[1246532700,1],
 
17954
[1246554300,1],
 
17955
[1246597500,1],
 
17956
[1246619100,1],
 
17957
[1246640700,1],
 
17958
[1246662300,1],
 
17959
[1246683900,1],
 
17960
[1246705500,1],
 
17961
[1246748700,1],
 
17962
[1246770300,1],
 
17963
[1246791900,1],
 
17964
[1246835100,1],
 
17965
[1246856700,1],
 
17966
[1246878300,1],
 
17967
[1246899900,1],
 
17968
[1246943100,1],
 
17969
[1246964700,1],
 
17970
[1246989900,1],
 
17971
[1247007900,1],
 
17972
[1247029500,1],
 
17973
[1247051100,1],
 
17974
[1247072700,1],
 
17975
[1247094300,1],
 
17976
[1247115900,1],
 
17977
[1247137500,1],
 
17978
[1247159100,1],
 
17979
[1247180700,1],
 
17980
[1247202300,1],
 
17981
[1247223900,1],
 
17982
[1247245500,1],
 
17983
[1247288700,1],
 
17984
[1247310300,1],
 
17985
[1247331900,1],
 
17986
[1247353500,1],
 
17987
[1247375100,1],
 
17988
[1247709900,1],
 
17989
[1247720700,1],
 
17990
[1247742300,1],
 
17991
[1247763900,1],
 
17992
[1247785800,1],
 
17993
[1247807100,1],
 
17994
[1247828700,1],
 
17995
[1247871900,1],
 
17996
[1247893500,1],
 
17997
[1247915100,1],
 
17998
[1247936700,1],
 
17999
[1247979900,1],
 
18000
[1248001500,1],
 
18001
[1248023100,1],
 
18002
[1248044700,1],
 
18003
[1248066300,1],
 
18004
[1248087900,1],
 
18005
[1248113100,1],
 
18006
[1248131100,1],
 
18007
[1248152700,1],
 
18008
[1248174300,1],
 
18009
[1248199500,1],
 
18010
[1248216600,1],
 
18011
[1248217200,1],
 
18012
[1248235500,1],
 
18013
[1248246300,1],
 
18014
[1248303900,1],
 
18015
[1248325500,1],
 
18016
[1248336300,1],
 
18017
[1248368700,1],
 
18018
[1248411900,1],
 
18019
[1248455100,1],
 
18020
[1248476700,1],
 
18021
[1248519900,1],
 
18022
[1248563100,1],
 
18023
[1248584700,1],
 
18024
[1248606300,1],
 
18025
[1248649500,1],
 
18026
[1248707100,1],
 
18027
[1248757500,1],
 
18028
[1248775500,1],
 
18029
[1248822300,1],
 
18030
[1248843900,1],
 
18031
[1248865500,1],
 
18032
[1248883500,1],
 
18033
[1248951900,1],
 
18034
[1249016700,1],
 
18035
[1249041900,1],
 
18036
[1249099500,1],
 
18037
[1249124700,1],
 
18038
[1249149900,1],
 
18039
[1249196700,1],
 
18040
[1249257900,1],
 
18041
[1249301100,1],
 
18042
[1249340700,1],
 
18043
[1249380300,1],
 
18044
[1249405500,1],
 
18045
[1250105400,1],
 
18046
[1250183100,1],
 
18047
[1250204700,1],
 
18048
[1250269500,1],
 
18049
[1250291100,1],
 
18050
[1250334300,1],
 
18051
[1250355900,1],
 
18052
[1250399100,1],
 
18053
[1250442300,1],
 
18054
[1250463900,1],
 
18055
[1250507100,1],
 
18056
[1250550300,1],
 
18057
[1250571900,1],
 
18058
[1250615100,1],
 
18059
[1250636700,1],
 
18060
[1250658300,1],
 
18061
[1250701500,1],
 
18062
[1250723100,1],
 
18063
[1250766300,1],
 
18064
[1250809500,1],
 
18065
[1250831100,1],
 
18066
[1250874300,1],
 
18067
[1250924700,1],
 
18068
[1250941500,1],
 
18069
[1250961600,1],
 
18070
[1251003900,1],
 
18071
[1251047100,1],
 
18072
[1251068700,1],
 
18073
[1251090300,1],
 
18074
[1251111900,1],
 
18075
[1251133500,1],
 
18076
[1251176700,1],
 
18077
[1251219900,1],
 
18078
[1251241500,1],
 
18079
[1251284700,1],
 
18080
[1251327900,1],
 
18081
[1251371100,1],
 
18082
[1251392700,1],
 
18083
[1251435900,1],
 
18084
[1251457500,1],
 
18085
[1251475500,1],
 
18086
[1251500700,1],
 
18087
[1251522300,1],
 
18088
[1251565500,1],
 
18089
[1251587100,1],
 
18090
[1251630300,1],
 
18091
[1251651900,1],
 
18092
[1251673500,1],
 
18093
[1251695100,1],
 
18094
[1251738300,1],
 
18095
[1251756300,1],
 
18096
[1251781500,1],
 
18097
[1251846300,1],
 
18098
[1251889500,1],
 
18099
[1251911100,1],
 
18100
[1251954300,1],
 
18101
[1251975900,1],
 
18102
[1251993900,1],
 
18103
[1252019100,1],
 
18104
[1252040700,1],
 
18105
[1252062300,1],
 
18106
[1252081500,1],
 
18107
[1252148700,1],
 
18108
[1252191900,1],
 
18109
[1252235100,1],
 
18110
[1252256700,1],
 
18111
[1252299900,1],
 
18112
[1252321500,1],
 
18113
[1252361100,1],
 
18114
[1252386300,1],
 
18115
[1252429500,1],
 
18116
[1252472700,1],
 
18117
[1252494300,1],
 
18118
[1252537500,1],
 
18119
[1252580700,1],
 
18120
[1252623900,1],
 
18121
[1252667100,1],
 
18122
[1252710300,1],
 
18123
[1252753500,1],
 
18124
[1252775100,1],
 
18125
[1252796700,1],
 
18126
[1252839900,1],
 
18127
[1252883100,1],
 
18128
[1252904700,1],
 
18129
[1252947900,1],
 
18130
[1252964400,1],
 
18131
[1252965000,1],
 
18132
[1252991100,1],
 
18133
[1253012700,1],
 
18134
[1253055900,1],
 
18135
[1253077500,1],
 
18136
[1253120700,1],
 
18137
[1253160300,1],
 
18138
[1253185500,1],
 
18139
[1253207100,1],
 
18140
[1253250300,1],
 
18141
[1253271900,1],
 
18142
[1253315100,1],
 
18143
[1253358300,1],
 
18144
[1253423100,1],
 
18145
[1253444700,1],
 
18146
[1253487900,1],
 
18147
[1253509500,1],
 
18148
[1253531100,1],
 
18149
[1253552700,1],
 
18150
[1253570700,1],
 
18151
[1253595900,1],
 
18152
[1253639100,1],
 
18153
[1253660700,1],
 
18154
[1253703900,1],
 
18155
[1253725500,1],
 
18156
[1253747100,1],
 
18157
[1253790300,1],
 
18158
[1253811900,1],
 
18159
[1253833500,1],
 
18160
[1253855100,1],
 
18161
[1253876700,1],
 
18162
[1253898300,1],
 
18163
[1253919900,1],
 
18164
[1253941500,1],
 
18165
[1253963100,1],
 
18166
[1253984700,1],
 
18167
[1254006300,1],
 
18168
[1254027900,1],
 
18169
[1254049500,1],
 
18170
[1254071100,1],
 
18171
[1254114300,1],
 
18172
[1254135900,1],
 
18173
[1254157500,1],
 
18174
[1254182700,1],
 
18175
[1254200700,1],
 
18176
[1254243900,1],
 
18177
[1254269100,1],
 
18178
[1254308700,1],
 
18179
[1254351900,1],
 
18180
[1254395100,1],
 
18181
[1254416700,1],
 
18182
[1254459900,1],
 
18183
[1254477900,1],
 
18184
[1254503100,1],
 
18185
[1254528300,1],
 
18186
[1254546300,1],
 
18187
[1254589500,1],
 
18188
[1254607500,1],
 
18189
[1254632700,1],
 
18190
[1254654300,1],
 
18191
[1254675900,1],
 
18192
[1254697500,1],
 
18193
[1254719100,1],
 
18194
[1254737100,1],
 
18195
[1254762300,1],
 
18196
[1254780300,1],
 
18197
[1254805500,1],
 
18198
[1254827100,1],
 
18199
[1254870300,1],
 
18200
[1254891900,1],
 
18201
[1254935100,1],
 
18202
[1254978300,1],
 
18203
[1255021500,1],
 
18204
[1255045200,1],
 
18205
[1255064700,1],
 
18206
[1255107900,1],
 
18207
[1255151100,1],
 
18208
[1255172700,1],
 
18209
[1255190700,1],
 
18210
[1255215900,1],
 
18211
[1255259100,1],
 
18212
[1255277100,1],
 
18213
[1255302300,1],
 
18214
[1255323900,1],
 
18215
[1255367100,1],
 
18216
[1255388700,1],
 
18217
[1255410300,1],
 
18218
[1255431900,1],
 
18219
[1255475100,1],
 
18220
[1255496700,1],
 
18221
[1255518300,1],
 
18222
[1255561500,1],
 
18223
[1255604700,1],
 
18224
[1255669500,1],
 
18225
[1255712700,1],
 
18226
[1255755900,1],
 
18227
[1255777500,1],
 
18228
[1255799100,1],
 
18229
[1255820700,1],
 
18230
[1255863900,1],
 
18231
[1255885500,1],
 
18232
[1255907100,1],
 
18233
[1255950300,1],
 
18234
[1255993500,1],
 
18235
[1256015100,1],
 
18236
[1256036700,1],
 
18237
[1256054700,1],
 
18238
[1256079900,1],
 
18239
[1256123100,1],
 
18240
[1256144700,1],
 
18241
[1256166300,1],
 
18242
[1256209500,1],
 
18243
[1256231100,1],
 
18244
[1256252700,1],
 
18245
[1256274300,1],
 
18246
[1256295900,1],
 
18247
[1256339100,1],
 
18248
[1256357100,1],
 
18249
[1256382300,1],
 
18250
[1256403900,1],
 
18251
[1256425500,1],
 
18252
[1256468700,1],
 
18253
[1256490300,1],
 
18254
[1256511900,1],
 
18255
[1256533500,1],
 
18256
[1256555100,1],
 
18257
[1256584500,1],
 
18258
[1256587800,1],
 
18259
[1256590800,1],
 
18260
[1256593500,1],
 
18261
[1256596200,1],
 
18262
[1256599200,1],
 
18263
[1256601900,1],
 
18264
[1256604600,1],
 
18265
[1256607300,1],
 
18266
[1256610600,1],
 
18267
[1256641500,1],
 
18268
[1256684700,1],
 
18269
[1256727900,1],
 
18270
[1256749500,1],
 
18271
[1256771100,1],
 
18272
[1256792700,1],
 
18273
[1256814300,1],
 
18274
[1256857500,1],
 
18275
[1256900700,1],
 
18276
[1256922300,1],
 
18277
[1256943900,1],
 
18278
[1256987100,1],
 
18279
[1257008700,1],
 
18280
[1257055500,1],
 
18281
[1257098700,1],
 
18282
[1257551700,1],
 
18283
[1257573900,1],
 
18284
[1257595500,1],
 
18285
[1257617700,1],
 
18286
[1257638700,1],
 
18287
[1257660300,1],
 
18288
[1257681900,1],
 
18289
[1257703500,1],
 
18290
[1257725100,1],
 
18291
[1257746700,1],
 
18292
[1257811500,1],
 
18293
[1257833100,1],
 
18294
[1257854700,1],
 
18295
[1257876300,1],
 
18296
[1257897900,1],
 
18297
[1257919500,1],
 
18298
[1257941100,1],
 
18299
[1257962700,1],
 
18300
[1257984300,1],
 
18301
[1258005900,1],
 
18302
[1258027500,1],
 
18303
[1258049100,1],
 
18304
[1258070700,1],
 
18305
[1258092300,1],
 
18306
[1258113900,1],
 
18307
[1258135500,1],
 
18308
[1258157100,1],
 
18309
[1258178700,1],
 
18310
[1258200300,1],
 
18311
[1258221900,1],
 
18312
[1258243500,1],
 
18313
[1258265100,1],
 
18314
[1258286700,1],
 
18315
[1258308300,1],
 
18316
[1258329900,1],
 
18317
[1258351500,1],
 
18318
[1258373100,1],
 
18319
[1258394700,1],
 
18320
[1258416300,1],
 
18321
[1258437900,1],
 
18322
[1258459500,1],
 
18323
[1258481100,1],
 
18324
[1258502700,1],
 
18325
[1258524300,1],
 
18326
[1258545900,1],
 
18327
[1258567500,1],
 
18328
[1258589100,1],
 
18329
[1258610700,1],
 
18330
[1258632300,1],
 
18331
[1258653900,1],
 
18332
[1258675500,1],
 
18333
[1258697100,1],
 
18334
[1258718700,1],
 
18335
[1258740300,1],
 
18336
[1258761900,1],
 
18337
[1258788600,1],
 
18338
[1258806600,1],
 
18339
[1258826700,1],
 
18340
[1258848300,1],
 
18341
[1258869900,1],
 
18342
[1258891500,1],
 
18343
[1258913100,1],
 
18344
[1258934700,1],
 
18345
[1258956300,1],
 
18346
[1258977900,1],
 
18347
[1258999500,1],
 
18348
[1259021100,1],
 
18349
[1259042700,1],
 
18350
[1259064300,1],
 
18351
[1259085900,1],
 
18352
[1259107500,1],
 
18353
[1259129100,1],
 
18354
[1259150700,1],
 
18355
[1259172300,1],
 
18356
[1259193900,1],
 
18357
[1259215500,1],
 
18358
[1259237100,1],
 
18359
[1259258700,1],
 
18360
[1259280300,1],
 
18361
[1259301900,1],
 
18362
[1259323500,1],
 
18363
[1259345100,1],
 
18364
[1259366700,1],
 
18365
[1259388300,1],
 
18366
[1259409900,1],
 
18367
[1259431500,1],
 
18368
[1259453100,1],
 
18369
[1259474700,1],
 
18370
[1259496300,1],
 
18371
[1259517900,1],
 
18372
[1259539500,1],
 
18373
[1259561100,1],
 
18374
[1259582700,1],
 
18375
[1259604300,1],
 
18376
[1259625900,1],
 
18377
[1259647500,1],
 
18378
[1259669100,1],
 
18379
[1259690700,1],
 
18380
[1259712300,1],
 
18381
[1259733900,1],
 
18382
[1259755500,1],
 
18383
[1259777100,1],
 
18384
[1259798700,1],
 
18385
[1259820300,1],
 
18386
[1259841900,1],
 
18387
[1259863500,1],
 
18388
[1259885100,1],
 
18389
[1259906700,1],
 
18390
[1259928300,1],
 
18391
[1259949900,1],
 
18392
[1259971500,1],
 
18393
[1259993100,1],
 
18394
[1260014700,1],
 
18395
[1260036300,1],
 
18396
[1260057900,1],
 
18397
[1260079500,1],
 
18398
[1260101100,1],
 
18399
[1260122700,1],
 
18400
[1260144300,1],
 
18401
[1260165900,1],
 
18402
[1260187500,1],
 
18403
[1260209100,1],
 
18404
[1260230700,1],
 
18405
[1260252300,1],
 
18406
[1260273900,1],
 
18407
[1260295500,1],
 
18408
[1260317100,1],
 
18409
[1260338700,1],
 
18410
[1260360300,1],
 
18411
[1260381900,1],
 
18412
[1260403500,1],
 
18413
[1260425100,1],
 
18414
[1260446700,1],
 
18415
[1260468300,1],
 
18416
[1260489900,1],
 
18417
[1260511500,1],
 
18418
[1260533100,1],
 
18419
[1260554700,1],
 
18420
[1260576300,1],
 
18421
[1260597900,1],
 
18422
[1260619500,1],
 
18423
[1260641100,1],
 
18424
[1260662700,1],
 
18425
[1260684300,1],
 
18426
[1260705900,1],
 
18427
[1260727500,1],
 
18428
[1260749100,1],
 
18429
[1260770700,1],
 
18430
[1260792300,1],
 
18431
[1260813900,1],
 
18432
[1260835500,1],
 
18433
[1260857100,1],
 
18434
[1260878700,1],
 
18435
[1260900300,1],
 
18436
[1260921900,1],
 
18437
[1260943500,1],
 
18438
[1260965100,1],
 
18439
[1260986700,1],
 
18440
[1261008300,1],
 
18441
[1261029900,1],
 
18442
[1261051500,1],
 
18443
[1261073100,1],
 
18444
[1261094700,1],
 
18445
[1261116300,1],
 
18446
[1261137900,1],
 
18447
[1261159500,1],
 
18448
[1261181100,1],
 
18449
[1261202700,1],
 
18450
[1261224300,1],
 
18451
[1261245900,1],
 
18452
[1261267500,1],
 
18453
[1261289100,1],
 
18454
[1261310700,1],
 
18455
[1261332300,1],
 
18456
[1261353900,1],
 
18457
[1261375500,1],
 
18458
[1261397100,1],
 
18459
[1261418700,1],
 
18460
[1261440300,1],
 
18461
[1261446900,1],
 
18462
[1261461900,1],
 
18463
[1261483500,1],
 
18464
[1261505100,1],
 
18465
[1261526700,1],
 
18466
[1261548300,1],
 
18467
[1261569900,1],
 
18468
[1261591500,1],
 
18469
[1261613100,1],
 
18470
[1261634700,1],
 
18471
[1261656300,1],
 
18472
[1261677900,1],
 
18473
[1261699500,1],
 
18474
[1261721100,1],
 
18475
[1261742700,1],
 
18476
[1261764300,1],
 
18477
[1261785900,1],
 
18478
[1261807500,1],
 
18479
[1261829100,1],
 
18480
[1261850700,1],
 
18481
[1261872300,1],
 
18482
[1261893900,1],
 
18483
[1261915500,1],
 
18484
[1261937100,1],
 
18485
[1261958700,1],
 
18486
[1261980300,1],
 
18487
[1262001900,1],
 
18488
[1262023500,1],
 
18489
[1262045100,1],
 
18490
[1262066700,1],
 
18491
[1262088300,1],
 
18492
[1262109900,1],
 
18493
[1262131500,1],
 
18494
[1262153100,1],
 
18495
[1262174700,1],
 
18496
[1262196300,1],
 
18497
[1262217900,1],
 
18498
[1262325900,1],
 
18499
[1262347500,1],
 
18500
[1262369100,1],
 
18501
[1262390700,1],
 
18502
[1262412300,1],
 
18503
[1262433900,1],
 
18504
[1262455500,1],
 
18505
[1262477100,1],
 
18506
[1262498700,1],
 
18507
[1262520300,1],
 
18508
[1262541900,1],
 
18509
[1262563500,1],
 
18510
[1262585100,1],
 
18511
[1262606700,1],
 
18512
[1262628300,1],
 
18513
[1262653500,1],
 
18514
[1262671500,1],
 
18515
[1262693100,1],
 
18516
[1262714700,1],
 
18517
[1262736300,1],
 
18518
[1262757900,1],
 
18519
[1262779500,1],
 
18520
[1262801100,1],
 
18521
[1262822700,1],
 
18522
[1262844300,1],
 
18523
[1262865900,1],
 
18524
[1262887500,1],
 
18525
[1262930700,1],
 
18526
[1262952300,1],
 
18527
[1262973900,1],
 
18528
[1262995500,1],
 
18529
[1263017100,1],
 
18530
[1263038700,1],
 
18531
[1263060300,1],
 
18532
[1263081900,1],
 
18533
[1263103500,1],
 
18534
[1263125100,1],
 
18535
[1263147600,1],
 
18536
[1263168300,1],
 
18537
[1263189900,1],
 
18538
[1263201900,1],
 
18539
[1263211500,1],
 
18540
[1263223500,1],
 
18541
[1263233100,1],
 
18542
[1263245100,1],
 
18543
[1263254700,1],
 
18544
[1263266700,1],
 
18545
[1263276300,1],
 
18546
[1263288300,1],
 
18547
[1263297900,1],
 
18548
[1263309900,1],
 
18549
[1263319500,1],
 
18550
[1263331500,1],
 
18551
[1263341100,1],
 
18552
[1263353100,1],
 
18553
[1263362700,1],
 
18554
[1263374700,1],
 
18555
[1263384300,1],
 
18556
[1263396300,1],
 
18557
[1263405900,1],
 
18558
[1263417900,1],
 
18559
[1263427500,1],
 
18560
[1263439500,1],
 
18561
[1263449100,1],
 
18562
[1263461100,1],
 
18563
[1263470700,1],
 
18564
[1263482700,1],
 
18565
[1263492300,1],
 
18566
[1263504300,1],
 
18567
[1263535500,1],
 
18568
[1263547500,1],
 
18569
[1263557100,1],
 
18570
[1263569100,1],
 
18571
[1263578700,1],
 
18572
[1263590700,1],
 
18573
[1263600300,1],
 
18574
[1263612300,1],
 
18575
[1263621900,1],
 
18576
[1263633900,1],
 
18577
[1263643500,1],
 
18578
[1263655500,1],
 
18579
[1263665100,1],
 
18580
[1263677100,1],
 
18581
[1263686700,1],
 
18582
[1263698700,1],
 
18583
[1263708300,1],
 
18584
[1263720300,1],
 
18585
[1263729900,1],
 
18586
[1263741900,1],
 
18587
[1263751500,1],
 
18588
[1263763500,1],
 
18589
[1263773100,1],
 
18590
[1263785100,1],
 
18591
[1263794700,1],
 
18592
[1263806700,1],
 
18593
[1263816300,1],
 
18594
[1263828300,1],
 
18595
[1263837900,1],
 
18596
[1263849900,1],
 
18597
[1263859500,1],
 
18598
[1263871500,1],
 
18599
[1263881100,1],
 
18600
[1263902700,1],
 
18601
[1263924300,1],
 
18602
[1263945900,1],
 
18603
[1263967500,1],
 
18604
[1263989100,1],
 
18605
[1264010700,1],
 
18606
[1264032300,1],
 
18607
[1264053900,1],
 
18608
[1264075500,1],
 
18609
[1264097100,1],
 
18610
[1264118700,1],
 
18611
[1264140300,1],
 
18612
[1264161900,1],
 
18613
[1264183500,1],
 
18614
[1264205100,1],
 
18615
[1264234200,1],
 
18616
[1264249200,1],
 
18617
[1264269900,1],
 
18618
[1264291500,1],
 
18619
[1264313100,1],
 
18620
[1264334700,1],
 
18621
[1264356300,1],
 
18622
[1264377900,1],
 
18623
[1264399500,1],
 
18624
[1264421100,1],
 
18625
[1264442700,1],
 
18626
[1264464300,1],
 
18627
[1264485900,1],
 
18628
[1264507500,1],
 
18629
[1264529100,1],
 
18630
[1264550700,1],
 
18631
[1264572300,1],
 
18632
[1264593900,1],
 
18633
[1264615500,1],
 
18634
[1265153100,1],
 
18635
[1265198700,1],
 
18636
[1265220300,1],
 
18637
[1265241900,1],
 
18638
[1265263500,1],
 
18639
[1265285100,1],
 
18640
[1265306700,1],
 
18641
[1265328300,1],
 
18642
[1265371500,1],
 
18643
[1265393100,1],
 
18644
[1265414700,1],
 
18645
[1265436300,1],
 
18646
[1265457900,1],
 
18647
[1265479500,1],
 
18648
[1265501100,1],
 
18649
[1265522700,1],
 
18650
[1265544300,1],
 
18651
[1265565900,1],
 
18652
[1265587500,1],
 
18653
[1265609100,1],
 
18654
[1265630700,1],
 
18655
[1265652300,1],
 
18656
[1265673900,1],
 
18657
[1265695500,1],
 
18658
[1265717100,1],
 
18659
[1265738700,1],
 
18660
[1265760300,1],
 
18661
[1265803500,1],
 
18662
[1265825100,1],
 
18663
[1265846700,1],
 
18664
[1265868300,1],
 
18665
[1265911500,1],
 
18666
[1265933100,1],
 
18667
[1265954700,1],
 
18668
[1265997900,1],
 
18669
[1266019500,1],
 
18670
[1266041100,1],
 
18671
[1266062700,1],
 
18672
[1266084300,1],
 
18673
[1266105900,1],
 
18674
[1266127500,1],
 
18675
[1266149100,1],
 
18676
[1266170700,1],
 
18677
[1266192300,1],
 
18678
[1266213900,1],
 
18679
[1266235500,1],
 
18680
[1266257100,1],
 
18681
[1266278700,1],
 
18682
[1266300300,1],
 
18683
[1266365100,1],
 
18684
[1266386700,1],
 
18685
[1266408300,1],
 
18686
[1266451500,1],
 
18687
[1266624300,1],
 
18688
[1266645900,1],
 
18689
[1266667500,1],
 
18690
[1266689100,1],
 
18691
[1266710700,1],
 
18692
[1266732300,1],
 
18693
[1266753900,1],
 
18694
[1266775500,1],
 
18695
[1266797100,1],
 
18696
[1266818700,1],
 
18697
[1266840300,1],
 
18698
[1266861900,1],
 
18699
[1266883500,1],
 
18700
[1266905100,1],
 
18701
[1266926700,1],
 
18702
[1266948300,1],
 
18703
[1266969900,1],
 
18704
[1266991500,1],
 
18705
[1267013100,1],
 
18706
[1267034700,1],
 
18707
[1267056300,1],
 
18708
[1267077900,1],
 
18709
[1267099500,1],
 
18710
[1267121100,1],
 
18711
[1267142700,1],
 
18712
[1267164300,1],
 
18713
[1267185900,1],
 
18714
[1267207500,1],
 
18715
[1267229100,1],
 
18716
[1267250700,1],
 
18717
[1267272300,1],
 
18718
[1267293900,1],
 
18719
[1267315500,1],
 
18720
[1267337100,1],
 
18721
[1267358700,1],
 
18722
[1267380300,1],
 
18723
[1267401900,1],
 
18724
[1267423500,1],
 
18725
[1267445100,1],
 
18726
[1267466700,1],
 
18727
[1267488300,1],
 
18728
[1267509900,1],
 
18729
[1267531500,1],
 
18730
[1267553100,1],
 
18731
[1267574700,1],
 
18732
[1267596300,1],
 
18733
[1267617900,1],
 
18734
[1267639500,1],
 
18735
[1267661100,1],
 
18736
[1267682700,1],
 
18737
[1267704300,1],
 
18738
[1267725900,1],
 
18739
[1267747500,1],
 
18740
[1267769100,1],
 
18741
[1267790700,1],
 
18742
[1267812300,1],
 
18743
[1267861800,1],
 
18744
[1267865400,1],
 
18745
[1267880400,1],
 
18746
[1267900500,1],
 
18747
[1267920300,1],
 
18748
[1267941900,1],
 
18749
[1267963500,1],
 
18750
[1267985100,1],
 
18751
[1268006700,1],
 
18752
[1268028300,1],
 
18753
[1268049900,1],
 
18754
[1268071500,1],
 
18755
[1268093100,1],
 
18756
[1268114700,1],
 
18757
[1268136300,1],
 
18758
[1268157900,1],
 
18759
[1268179500,1],
 
18760
[1268201100,1],
 
18761
[1268244300,1],
 
18762
[1268265900,1],
 
18763
[1268287500,1],
 
18764
[1268330700,1],
 
18765
[1268352300,1],
 
18766
[1268373900,1],
 
18767
[1268395500,1],
 
18768
[1268417100,1],
 
18769
[1268438700,1],
 
18770
[1268460300,1],
 
18771
[1268481900,1],
 
18772
[1268503500,1],
 
18773
[1268525100,1],
 
18774
[1268546700,1],
 
18775
[1268564700,1],
 
18776
[1268586300,1],
 
18777
[1268607900,1],
 
18778
[1268629500,1],
 
18779
[1268651100,1],
 
18780
[1268672700,1],
 
18781
[1268694300,1],
 
18782
[1268715900,1],
 
18783
[1268737500,1],
 
18784
[1268759100,1],
 
18785
[1268780700,1],
 
18786
[1268802300,1],
 
18787
[1268823900,1],
 
18788
[1268845500,1],
 
18789
[1268867100,1],
 
18790
[1268888700,1],
 
18791
[1268910300,1],
 
18792
[1268931900,1],
 
18793
[1268953500,1],
 
18794
[1268975100,1],
 
18795
[1268996700,1],
 
18796
[1269018300,1],
 
18797
[1269039900,1],
 
18798
[1269061500,1],
 
18799
[1269083100,1],
 
18800
[1269104700,1],
 
18801
[1269126300,1],
 
18802
[1269147900,1],
 
18803
[1269169500,1],
 
18804
[1269191100,1],
 
18805
[1269212700,1],
 
18806
[1269234300,1],
 
18807
[1269255900,1],
 
18808
[1269299100,1],
 
18809
[1269320700,1],
 
18810
[1269342300,1],
 
18811
[1269363900,1],
 
18812
[1269385500,1],
 
18813
[1269407100,1],
 
18814
[1269428700,1],
 
18815
[1269450300,1],
 
18816
[1269471900,1],
 
18817
[1269493500,1],
 
18818
[1269515100,1],
 
18819
[1269536700,1],
 
18820
[1269558300,1],
 
18821
[1269579900,1],
 
18822
[1269601500,1],
 
18823
[1269623100,1],
 
18824
[1269644700,1],
 
18825
[1269666300,1],
 
18826
[1269687900,1],
 
18827
[1269709500,1],
 
18828
[1269731100,1],
 
18829
[1269752700,1],
 
18830
[1269774300,1],
 
18831
[1269795900,1],
 
18832
[1269817500,1],
 
18833
[1269839100,1],
 
18834
[1269860700,1],
 
18835
[1269882300,1],
 
18836
[1269903900,1],
 
18837
[1269925500,1],
 
18838
[1269947100,1],
 
18839
[1269968700,1],
 
18840
[1269990300,1],
 
18841
[1270011900,1],
 
18842
[1270076700,1],
 
18843
[1270098300,1],
 
18844
[1270119900,1],
 
18845
[1270141500,1],
 
18846
[1270163100,1],
 
18847
[1270184700,1],
 
18848
[1270206300,1],
 
18849
[1270227900,1],
 
18850
[1270271100,1],
 
18851
[1270292700,1],
 
18852
[1270314300,1],
 
18853
[1270335900,1],
 
18854
[1270357500,1],
 
18855
[1270379100,1],
 
18856
[1270400700,1],
 
18857
[1270422300,1],
 
18858
[1270443900,1],
 
18859
[1270465500,1],
 
18860
[1270487100,1],
 
18861
[1270508700,1],
 
18862
[1270530300,1],
 
18863
[1270551900,1],
 
18864
[1270573500,1],
 
18865
[1270616700,1],
 
18866
[1270638300,1],
 
18867
[1270659900,1],
 
18868
[1270681500,1],
 
18869
[1270703100,1],
 
18870
[1270724700,1],
 
18871
[1270746300,1],
 
18872
[1270767900,1],
 
18873
[1270789500,1],
 
18874
[1270875900,1],
 
18875
[1270897500,1],
 
18876
[1270919100,1],
 
18877
[1270940700,1],
 
18878
[1270962300,1],
 
18879
[1270983900,1],
 
18880
[1271005500,1],
 
18881
[1271048700,1],
 
18882
[1271070300,1],
 
18883
[1271091900,1],
 
18884
[1271113500,1],
 
18885
[1271135100,1],
 
18886
[1271156700,1],
 
18887
[1271178300,1],
 
18888
[1271199900,1],
 
18889
[1271221500,1],
 
18890
[1271243100,1],
 
18891
[1271264700,1],
 
18892
[1271307900,1],
 
18893
[1271329500,1],
 
18894
[1271351100,1],
 
18895
[1271372700,1],
 
18896
[1271394300,1],
 
18897
[1271415900,1],
 
18898
[1271480700,1],
 
18899
[1271502300,1],
 
18900
[1271523900,1],
 
18901
[1271545500,1],
 
18902
[1271567100,1],
 
18903
[1271588700,1],
 
18904
[1271610300,1],
 
18905
[1271631900,1],
 
18906
[1271653500,1],
 
18907
[1271675100,1],
 
18908
[1271696700,1],
 
18909
[1271718300,1],
 
18910
[1271739900,1],
 
18911
[1271761500,1],
 
18912
[1271783100,1],
 
18913
[1271804700,1],
 
18914
[1271934300,1],
 
18915
[1271999100,1],
 
18916
[1272020700,1],
 
18917
[1272085500,1],
 
18918
[1272107100,1],
 
18919
[1272150300,1],
 
18920
[1272193500,1],
 
18921
[1272819900,1],
 
18922
[1272863100,1],
 
18923
[1272906300,1],
 
18924
[1272924300,1],
 
18925
[1272925800,1],
 
18926
[1273014300,1],
 
18927
[1273035900,1],
 
18928
[1273057500,1],
 
18929
[1273079100,1],
 
18930
[1273100700,1],
 
18931
[1273122300,1],
 
18932
[1273143900,1],
 
18933
[1273165500,1],
 
18934
[1273187100,1],
 
18935
[1273208700,1],
 
18936
[1273230300,1],
 
18937
[1273251900,1],
 
18938
[1273273500,1],
 
18939
[1273295100,1],
 
18940
[1273316700,1],
 
18941
[1273338300,1],
 
18942
[1273359900,1],
 
18943
[1273381500,1],
 
18944
[1273403100,1],
 
18945
[1273424700,1],
 
18946
[1273446300,1],
 
18947
[1273467900,1],
 
18948
[1273489500,1],
 
18949
[1273511100,1],
 
18950
[1273532700,1],
 
18951
[1273554300,1],
 
18952
[1273575900,1],
 
18953
[1273597500,1],
 
18954
[1273619100,1],
 
18955
[1273640700,1],
 
18956
[1273662300,1],
 
18957
[1273705500,1],
 
18958
[1273727100,1],
 
18959
[1273748700,1],
 
18960
[1273791900,1],
 
18961
[1273813500,1],
 
18962
[1273835100,1],
 
18963
[1273878300,1],
 
18964
[1273899900,1],
 
18965
[1273921500,1],
 
18966
[1273943100,1],
 
18967
[1273964700,1],
 
18968
[1273986300,1],
 
18969
[1274029500,1],
 
18970
[1274051100,1],
 
18971
[1274072700,1],
 
18972
[1274094300,1],
 
18973
[1274115900,1],
 
18974
[1274137500,1],
 
18975
[1274159100,1],
 
18976
[1274180700,1],
 
18977
[1274202300,1],
 
18978
[1274223900,1],
 
18979
[1274245500,1],
 
18980
[1274267100,1],
 
18981
[1274288700,1],
 
18982
[1274310300,1],
 
18983
[1274331900,1],
 
18984
[1274375100,1],
 
18985
[1274397000,1],
 
18986
[1274418300,1],
 
18987
[1274439900,1],
 
18988
[1274461500,1],
 
18989
[1274483100,1],
 
18990
[1274504700,1],
 
18991
[1274526300,1],
 
18992
[1274547900,1],
 
18993
[1274569500,1],
 
18994
[1274591100,1],
 
18995
[1274612700,1],
 
18996
[1274634300,1],
 
18997
[1274655900,1],
 
18998
[1274699100,1],
 
18999
[1274720700,1],
 
19000
[1274742300,1],
 
19001
[1274763900,1],
 
19002
[1274785500,1],
 
19003
[1274807100,1],
 
19004
[1274850300,1],
 
19005
[1274893500,1],
 
19006
[1274915100,1],
 
19007
[1274936700,1],
 
19008
[1274958300,1],
 
19009
[1275002400,1],
 
19010
[1275023100,1],
 
19011
[1275044700,1],
 
19012
[1275066300,1],
 
19013
[1275087900,1],
 
19014
[1275131100,1],
 
19015
[1275152700,1],
 
19016
[1275174300,1],
 
19017
[1275195900,1],
 
19018
[1275217500,1],
 
19019
[1275239100,1],
 
19020
[1275282300,1],
 
19021
[1275303900,1],
 
19022
[1275325500,1],
 
19023
[1275347100,1],
 
19024
[1275368700,1],
 
19025
[1275390300,1],
 
19026
[1275411900,1],
 
19027
[1275433500,1],
 
19028
[1275455100,1],
 
19029
[1275476700,1],
 
19030
[1275498300,1],
 
19031
[1275519900,1],
 
19032
[1275541500,1],
 
19033
[1275563100,1],
 
19034
[1275584700,1],
 
19035
[1275720600,1],
 
19036
[1275724200,1],
 
19037
[1275726600,1],
 
19038
[1275729000,1],
 
19039
[1275731400,1],
 
19040
[1275734700,1],
 
19041
[1275749700,1],
 
19042
[1275769800,1],
 
19043
[1275789300,1],
 
19044
[1275887100,1],
 
19045
[1275908700,1],
 
19046
[1275930300,1],
 
19047
[1275951900,1],
 
19048
[1275973500,1],
 
19049
[1275995100,1],
 
19050
[1276016700,1],
 
19051
[1276038300,1],
 
19052
[1276059900,1],
 
19053
[1276081500,1],
 
19054
[1276103100,1],
 
19055
[1276124700,1],
 
19056
[1276146300,1],
 
19057
[1276167900,1],
 
19058
[1276189500,1],
 
19059
[1276211100,1],
 
19060
[1276232700,1],
 
19061
[1276254300,1],
 
19062
[1276275900,1],
 
19063
[1276297500,1],
 
19064
[1276319100,1],
 
19065
[1276340700,1],
 
19066
[1276362300,1],
 
19067
[1276383900,1],
 
19068
[1276405500,1],
 
19069
[1276427100,1],
 
19070
[1276448700,1],
 
19071
[1276470300,1],
 
19072
[1276491900,1],
 
19073
[1276513500,1],
 
19074
[1276535100,1],
 
19075
[1276556700,1],
 
19076
[1276580400,1],
 
19077
[1276599900,1],
 
19078
[1276643100,1],
 
19079
[1276665600,1],
 
19080
[1276686300,1],
 
19081
[1276707900,1],
 
19082
[1276729500,1],
 
19083
[1276751700,1],
 
19084
[1276772700,1],
 
19085
[1276794300,1],
 
19086
[1276815900,1],
 
19087
[1276837500,1],
 
19088
[1276859100,1],
 
19089
[1276880700,1],
 
19090
[1276902300,1],
 
19091
[1276930800,1],
 
19092
[1276967100,1],
 
19093
[1276988700,1],
 
19094
[1277010300,1],
 
19095
[1277031900,1],
 
19096
[1277075100,1],
 
19097
[1277096700,1],
 
19098
[1277118300,1],
 
19099
[1277139900,1],
 
19100
[1277161500,1],
 
19101
[1277183100,1],
 
19102
[1277204700,1],
 
19103
[1277226300,1],
 
19104
[1277247900,1],
 
19105
[1277269500,1],
 
19106
[1277291100,1],
 
19107
[1277312700,1],
 
19108
[1277334300,1],
 
19109
[1277355900,1],
 
19110
[1277377500,1],
 
19111
[1277399100,1],
 
19112
[1277420700,1],
 
19113
[1277442300,1],
 
19114
[1277463900,1],
 
19115
[1277485500,1],
 
19116
[1277507100,1],
 
19117
[1277528700,1],
 
19118
[1277550300,1],
 
19119
[1277571900,1],
 
19120
[1277593500,1],
 
19121
[1277615100,1],
 
19122
[1277636700,1],
 
19123
[1277701500,1],
 
19124
[1277723100,1],
 
19125
[1277766300,1],
 
19126
[1277787900,1],
 
19127
[1277809500,1],
 
19128
[1277852700,1],
 
19129
[1277874300,1],
 
19130
[1277895900,1],
 
19131
[1277917500,1],
 
19132
[1277939100,1],
 
19133
[1277960700,1],
 
19134
[1277982300,1],
 
19135
[1278003900,1],
 
19136
[1278025500,1],
 
19137
[1278047100,1],
 
19138
[1278068700,1],
 
19139
[1278090300,1],
 
19140
[1278136200,1],
 
19141
[1278155100,1],
 
19142
[1278176700,1],
 
19143
[1278198300,1],
 
19144
[1278219900,1],
 
19145
[1278241500,1],
 
19146
[1278263100,1],
 
19147
[1278284700,1],
 
19148
[1278306300,1],
 
19149
[1278327900,1],
 
19150
[1278349500,1],
 
19151
[1278371100,1],
 
19152
[1278392700,1],
 
19153
[1278435900,1],
 
19154
[1278457500,1],
 
19155
[1278479100,1],
 
19156
[1278522300,1],
 
19157
[1278543900,1],
 
19158
[1278565500,1],
 
19159
[1278608700,1],
 
19160
[1278630300,1],
 
19161
[1278651900,1],
 
19162
[1278673500,1],
 
19163
[1278695100,1],
 
19164
[1278716700,1],
 
19165
[1278738300,1],
 
19166
[1278759900,1],
 
19167
[1278781500,1],
 
19168
[1278803100,1],
 
19169
[1278824700,1],
 
19170
[1278846300,1],
 
19171
[1278867900,1],
 
19172
[1278889500,1],
 
19173
[1278911100,1],
 
19174
[1278932700,1],
 
19175
[1278954300,1],
 
19176
[1278997500,1],
 
19177
[1279019100,1],
 
19178
[1279040700,1],
 
19179
[1279062300,1],
 
19180
[1279083900,1],
 
19181
[1279105500,1],
 
19182
[1279170300,1],
 
19183
[1279191900,1],
 
19184
[1279213500,1],
 
19185
[1279235100,1],
 
19186
[1279256700,1],
 
19187
[1279278300,1],
 
19188
[1279299900,1],
 
19189
[1279343100,1],
 
19190
[1279364700,1],
 
19191
[1279386300,1],
 
19192
[1279429500,1],
 
19193
[1279451100,1],
 
19194
[1279472700,1],
 
19195
[1279494300,1],
 
19196
[1279515900,1],
 
19197
[1279537500,1],
 
19198
[1279559100,1],
 
19199
[1279580700,1],
 
19200
[1279623900,1],
 
19201
[1279645500,1],
 
19202
[1279667100,1],
 
19203
[1280423100,1],
 
19204
[1280524800,1],
 
19205
[1280552700,1],
 
19206
[1280639100,1],
 
19207
[1280693100,1],
 
19208
[1280725500,1],
 
19209
[1280790300,1],
 
19210
[1280898300,1],
 
19211
[1281071100,1],
 
19212
[1281114300,1],
 
19213
[1281157500,1],
 
19214
[1281200700,1],
 
19215
[1281243900,1],
 
19216
[1281330300,1],
 
19217
[1281373500,1],
 
19218
[1281416700,1],
 
19219
[1281459900,1],
 
19220
[1281503100,1],
 
19221
[1281546300,1],
 
19222
[1281589500,1],
 
19223
[1281632700,1],
 
19224
[1281675900,1],
 
19225
[1281719100,1],
 
19226
[1281762300,1],
 
19227
[1281805500,1],
 
19228
[1281891900,1],
 
19229
[1281978300,1],
 
19230
[1282107900,1],
 
19231
[1282194300,1],
 
19232
[1282237500,1],
 
19233
[1282280700,1],
 
19234
[1282323900,1],
 
19235
[1282626300,1],
 
19236
[1282669500,1],
 
19237
[1282755900,1],
 
19238
[1282799100,1],
 
19239
[1282842300,1],
 
19240
[1282885500,1],
 
19241
[1282928700,1],
 
19242
[1282980000,1],
 
19243
[1283015100,1],
 
19244
[1283058300,1],
 
19245
[1283101500,1],
 
19246
[1283144700,1],
 
19247
[1283187900,1],
 
19248
[1283231100,1],
 
19249
[1283274300,1],
 
19250
[1283317500,1],
 
19251
[1283360700,1],
 
19252
[1283403900,1],
 
19253
[1283447100,1],
 
19254
[1283490300,1],
 
19255
[1283533500,1],
 
19256
[1283585100,1],
 
19257
[1283619900,1],
 
19258
[1283663100,1],
 
19259
[1283706300,1],
 
19260
[1283749500,1],
 
19261
[1283792700,1],
 
19262
[1283835900,1],
 
19263
[1283879100,1],
 
19264
[1283922300,1],
 
19265
[1283965500,1],
 
19266
[1284008700,1],
 
19267
[1284051900,1],
 
19268
[1284095100,1],
 
19269
[1284138300,1],
 
19270
[1284181500,1],
 
19271
[1284224700,1],
 
19272
[1284267900,1],
 
19273
[1284311100,1],
 
19274
[1284354300,1],
 
19275
[1284397500,1],
 
19276
[1284440700,1],
 
19277
[1284483900,1],
 
19278
[1284527100,1],
 
19279
[1284570300,1],
 
19280
[1284613500,1],
 
19281
[1284656700,1],
 
19282
[1284699900,1],
 
19283
[1284741300,1],
 
19284
[1284829500,1],
 
19285
[1284872700,1],
 
19286
[1284915900,1],
 
19287
[1284959100,1],
 
19288
[1285002300,1],
 
19289
[1285045500,1],
 
19290
[1285088700,1],
 
19291
[1285131900,1],
 
19292
[1285175100,1],
 
19293
[1285218300,1],
 
19294
[1285261500,1],
 
19295
[1285304700,1],
 
19296
[1285347900,1],
 
19297
[1285391100,1],
 
19298
[1285434300,1],
 
19299
[1285477500,1],
 
19300
[1285520700,1],
 
19301
[1285563900,1],
 
19302
[1285607100,1],
 
19303
[1285650300,1],
 
19304
[1285693500,1],
 
19305
[1285736700,1],
 
19306
[1285779900,1],
 
19307
[1285823100,1],
 
19308
[1285866300,1],
 
19309
[1285909500,1],
 
19310
[1285952700,1],
 
19311
[1285995900,1],
 
19312
[1286039100,1],
 
19313
[1286082300,1],
 
19314
[1286125500,1],
 
19315
[1286168700,1],
 
19316
[1286211900,1],
 
19317
[1286255100,1],
 
19318
[1286298300,1],
 
19319
[1286341500,1],
 
19320
[1286384700,1],
 
19321
[1286427900,1],
 
19322
[1286471100,1],
 
19323
[1286514300,1],
 
19324
[1286557500,1],
 
19325
[1286600700,1],
 
19326
[1286643900,1],
 
19327
[1286687100,1],
 
19328
[1286730300,1],
 
19329
[1286773500,1],
 
19330
[1286816700,1],
 
19331
[1286859900,1],
 
19332
[1286903100,1],
 
19333
[1286946300,1],
 
19334
[1286989500,1],
 
19335
[1287032700,1],
 
19336
[1287075900,1],
 
19337
[1287119100,1],
 
19338
[1287162300,1],
 
19339
[1287210600,1],
 
19340
[1287248700,1],
 
19341
[1287291900,1],
 
19342
[1287335100,1],
 
19343
[1287378300,1],
 
19344
[1287421500,1],
 
19345
[1287464700,1],
 
19346
[1287507900,1],
 
19347
[1287551100,1],
 
19348
[1287594300,1],
 
19349
[1287637500,1],
 
19350
[1287680700,1],
 
19351
[1287723900,1],
 
19352
[1287767100,1],
 
19353
[1287810300,1],
 
19354
[1287853500,1],
 
19355
[1287896700,1],
 
19356
[1287983100,1],
 
19357
[1288026300,1],
 
19358
[1288069500,1],
 
19359
[1288112700,1],
 
19360
[1288371900,1],
 
19361
[1288421400,1],
 
19362
[1288458300,1],
 
19363
[1288501500,1],
 
19364
[1288544700,1],
 
19365
[1288587900,1],
 
19366
[1288631100,1],
 
19367
[1288674300,1],
 
19368
[1288717500,1],
 
19369
[1288760700,1],
 
19370
[1288803900,1],
 
19371
[1288847100,1],
 
19372
[1288890300,1],
 
19373
[1288933500,1],
 
19374
[1288976700,1],
 
19375
[1289026200,1],
 
19376
[1289063100,1],
 
19377
[1289109900,1],
 
19378
[1289153100,1],
 
19379
[1289196300,1],
 
19380
[1289239500,1],
 
19381
[1289282700,1],
 
19382
[1289325900,1],
 
19383
[1289369100,1],
 
19384
[1289412300,1],
 
19385
[1289455500,1],
 
19386
[1289498700,1],
 
19387
[1289541900,1],
 
19388
[1289585100,1],
 
19389
[1289628300,1],
 
19390
[1289671500,1],
 
19391
[1289714700,1],
 
19392
[1289757900,1],
 
19393
[1289801100,1],
 
19394
[1289844300,1],
 
19395
[1289887500,1],
 
19396
[1289930700,1],
 
19397
[1289973900,1],
 
19398
[1290017100,1],
 
19399
[1290060300,1],
 
19400
[1290103500,1],
 
19401
[1290240600,1],
 
19402
[1290243300,1],
 
19403
[1290247500,1],
 
19404
[1290285600,1],
 
19405
[1290326400,1],
 
19406
[1290367200,1],
 
19407
[1290407700,1],
 
19408
[1290449100,1],
 
19409
[1290492300,1],
 
19410
[1290535500,1],
 
19411
[1290578700,1],
 
19412
[1290621900,1],
 
19413
[1290665100,1],
 
19414
[1290708300,1],
 
19415
[1290751500,1],
 
19416
[1290794700,1],
 
19417
[1290837900,1],
 
19418
[1290881100,1],
 
19419
[1290924300,1],
 
19420
[1290967500,1],
 
19421
[1291010700,1],
 
19422
[1291053900,1],
 
19423
[1291097100,1],
 
19424
[1291140300,1],
 
19425
[1291183500,1],
 
19426
[1291226700,1],
 
19427
[1291269900,1],
 
19428
[1291313100,1],
 
19429
[1291356300,1],
 
19430
[1291399500,1],
 
19431
[1291445100,1],
 
19432
[1291485900,1],
 
19433
[1291529100,1],
 
19434
[1291572300,1],
 
19435
[1291615500,1],
 
19436
[1291658700,1],
 
19437
[1291701900,1],
 
19438
[1291745100,1],
 
19439
[1291788300,1],
 
19440
[1291831500,1],
 
19441
[1291874700,1],
 
19442
[1291917900,1],
 
19443
[1291961100,1],
 
19444
[1292004300,1],
 
19445
[1292047500,1],
 
19446
[1292090700,1],
 
19447
[1292133900,1],
 
19448
[1292177100,1],
 
19449
[1292220300,1],
 
19450
[1292263500,1],
 
19451
[1292306700,1],
 
19452
[1292349900,1],
 
19453
[1292393100,1],
 
19454
[1292436300,1],
 
19455
[1292479500,1],
 
19456
[1292522700,1],
 
19457
[1292565900,1],
 
19458
[1292609100,1],
 
19459
[1292652300,1],
 
19460
[1292695500,1],
 
19461
[1292738700,1],
 
19462
[1292781900,1],
 
19463
[1292825100,1],
 
19464
[1292868300,1],
 
19465
[1292911500,1],
 
19466
[1292954700,1],
 
19467
[1292997900,1],
 
19468
[1293041100,1],
 
19469
[1293084300,1],
 
19470
[1293127500,1],
 
19471
[1293170700,1],
 
19472
[1293213900,1],
 
19473
[1293257100,1],
 
19474
[1293300300,1],
 
19475
[1293343500,1],
 
19476
[1293386700,1],
 
19477
[1293429900,1],
 
19478
[1293473100,1],
 
19479
[1293516300,1],
 
19480
[1293559500,1],
 
19481
[1293602700,1],
 
19482
[1293645900,1],
 
19483
[1293689100,1],
 
19484
[1293732300,1],
 
19485
[1293775500,1],
 
19486
[1293818700,1],
 
19487
[1293861900,1],
 
19488
[1293905100,1],
 
19489
[1293948300,1],
 
19490
[1293991500,1],
 
19491
[1294034700,1],
 
19492
[1294077900,1],
 
19493
[1294121100,1],
 
19494
[1294164300,1],
 
19495
[1294207500,1],
 
19496
[1294250700,1],
 
19497
[1294293900,1],
 
19498
[1294337100,1],
 
19499
[1294380300,1],
 
19500
[1294423500,1],
 
19501
[1294466700,1],
 
19502
[1294509900,1],
 
19503
[1294553100,1],
 
19504
[1294596300,1],
 
19505
[1294639500,1],
 
19506
[1294682700,1],
 
19507
[1294725900,1],
 
19508
[1294769100,1],
 
19509
[1294812300,1],
 
19510
[1294855500,1],
 
19511
[1294898700,1],
 
19512
[1294985100,1],
 
19513
[1295071500,1],
 
19514
[1295114700,1],
 
19515
[1295157900,1],
 
19516
[1295201100,1],
 
19517
[1295244300,1],
 
19518
[1295330700,1],
 
19519
[1295373900,1],
 
19520
[1295417100,1],
 
19521
[1295546700,1],
 
19522
[1295589900,1],
 
19523
[1295762700,1],
 
19524
[1295805900,1],
 
19525
[1295892300,1],
 
19526
[1295935500,1],
 
19527
[1296021900,1],
 
19528
[1296065100,1],
 
19529
[1296108300,1],
 
19530
[1296151500,1],
 
19531
[1296194700,1],
 
19532
[1296237900,1],
 
19533
[1296289500,1],
 
19534
[1296324300,1],
 
19535
[1296367500,1],
 
19536
[1296410700,1],
 
19537
[1296453900,1],
 
19538
[1296497100,1],
 
19539
[1296540300,1],
 
19540
[1296583500,1],
 
19541
[1296626700,1],
 
19542
[1296669900,1],
 
19543
[1296713100,1],
 
19544
[1296756300,1],
 
19545
[1296799500,1],
 
19546
[1296842700,1],
 
19547
[1296885900,1],
 
19548
[1296927900,1],
 
19549
[1296972300,1],
 
19550
[1297015500,1],
 
19551
[1297058700,1],
 
19552
[1297101900,1],
 
19553
[1297285200,1],
 
19554
[1297909500,1],
 
19555
[1297965900,1],
 
19556
[1298095500,1],
 
19557
[1298138700,1],
 
19558
[1298181900,1],
 
19559
[1298225100,1],
 
19560
[1298268300,1],
 
19561
[1298311500,1],
 
19562
[1298354700,1],
 
19563
[1298397900,1],
 
19564
[1298441100,1],
 
19565
[1298484300,1],
 
19566
[1298502900,1],
 
19567
[1298504400,1],
 
19568
[1298527500,1],
 
19569
[1298570700,1],
 
19570
[1298613900,1],
 
19571
[1298657100,1],
 
19572
[1298708700,1],
 
19573
[1298743500,1],
 
19574
[1298786700,1],
 
19575
[1298873100,1],
 
19576
[1298916300,1],
 
19577
[1298959500,1],
 
19578
[1299002700,1],
 
19579
[1299045900,1],
 
19580
[1299089100,1],
 
19581
[1299175500,1],
 
19582
[1299218700,1],
 
19583
[1299261900,1],
 
19584
[1299305100,1],
 
19585
[1299348300,1],
 
19586
[1299391500,1],
 
19587
[1299434700,1],
 
19588
[1299477900,1],
 
19589
[1299521100,1],
 
19590
[1299538500,1],
 
19591
[1299540000,1],
 
19592
[1299564300,1],
 
19593
[1299607500,1],
 
19594
[1299650700,1],
 
19595
[1299693900,1],
 
19596
[1299737100,1],
 
19597
[1299780300,1],
 
19598
[1299823500,1],
 
19599
[1299866700,1],
 
19600
[1299909900,1],
 
19601
[1299953100,1],
 
19602
[1299996300,1],
 
19603
[1300035900,1],
 
19604
[1300079100,1],
 
19605
[1300165500,1],
 
19606
[1300208700,1],
 
19607
[1300251900,1],
 
19608
[1300295100,1],
 
19609
[1300338300,1],
 
19610
[1300381500,1],
 
19611
[1300424700,1],
 
19612
[1300467900,1],
 
19613
[1300511100,1],
 
19614
[1300554300,1],
 
19615
[1300597500,1],
 
19616
[1300640700,1],
 
19617
[1300683900,1],
 
19618
[1300727100,1],
 
19619
[1300770300,1],
 
19620
[1300813500,1],
 
19621
[1300830300,1],
 
19622
[1300831800,1],
 
19623
[1300856700,1],
 
19624
[1300899900,1],
 
19625
[1300916700,1],
 
19626
[1300918200,1],
 
19627
[1300943100,1],
 
19628
[1300986300,1],
 
19629
[1301029500,1],
 
19630
[1301072700,1],
 
19631
[1301115900,1],
 
19632
[1301159100,1],
 
19633
[1301202300,1],
 
19634
[1301245500,1],
 
19635
[1301288700,1],
 
19636
[1301418300,1],
 
19637
[1301461500,1],
 
19638
[1301504700,1],
 
19639
[1301547900,1],
 
19640
[1301634300,1],
 
19641
[1301677500,1],
 
19642
[1301720700,1],
 
19643
[1301979900,1],
 
19644
[1302023100,1],
 
19645
[1302066300,1],
 
19646
[1302109500,1],
 
19647
[1302152700,1],
 
19648
[1302195900,1],
 
19649
[1302239100,1],
 
19650
[1302282300,1],
 
19651
[1302325500,1],
 
19652
[1302368700,1],
 
19653
[1302411900,1],
 
19654
[1302455100,1],
 
19655
[1302498300,1],
 
19656
[1302541500,1],
 
19657
[1302584700,1],
 
19658
[1302627900,1],
 
19659
[1302671100,1],
 
19660
[1302714300,1],
 
19661
[1302757500,1],
 
19662
[1302800700,1],
 
19663
[1302843900,1],
 
19664
[1302930300,1],
 
19665
[1302973500,1],
 
19666
[1303016700,1],
 
19667
[1303059900,1],
 
19668
[1303232700,1],
 
19669
[1303275900,1],
 
19670
[1303751100,1],
 
19671
[1303794300,1],
 
19672
[1303837500,1],
 
19673
[1303880700,1],
 
19674
[1303923900,1],
 
19675
[1303967100,1],
 
19676
[1304010300,1],
 
19677
[1304053500,1],
 
19678
[1304096700,1],
 
19679
[1304139900,1],
 
19680
[1304183100,1],
 
19681
[1304917500,1],
 
19682
[1304960700,1],
 
19683
[1305003900,1],
 
19684
[1305047100,1],
 
19685
[1305090300,1],
 
19686
[1305133500,1],
 
19687
[1305176700,1],
 
19688
[1305219900,1],
 
19689
[1305263100,1],
 
19690
[1305306300,1],
 
19691
[1305349500,1],
 
19692
[1305392700,1],
 
19693
[1305522300,1],
 
19694
[1305565500,1],
 
19695
[1305608700,1],
 
19696
[1305651900,1],
 
19697
[1305695100,1],
 
19698
[1305738300,1],
 
19699
[1305781500,1],
 
19700
[1305824700,1],
 
19701
[1305867900,1],
 
19702
[1305911100,1],
 
19703
[1305954300,1],
 
19704
[1305997500,1],
 
19705
[1306040700,1],
 
19706
[1306083900,1],
 
19707
[1306127100,1],
 
19708
[1306170300,1],
 
19709
[1306256400,1],
 
19710
[1306299900,1],
 
19711
[1306386300,1],
 
19712
[1306472700,1],
 
19713
[1306515900,1],
 
19714
[1306559100,1],
 
19715
[1306602300,1],
 
19716
[1306645500,1],
 
19717
[1306688700,1],
 
19718
[1306731900,1],
 
19719
[1306775100,1],
 
19720
[1306818300,1],
 
19721
[1306861500,1],
 
19722
[1306991100,1],
 
19723
[1307034300,1],
 
19724
[1307077500,1],
 
19725
[1307381100,1],
 
19726
[1307493000,1],
 
19727
[1307554500,1],
 
19728
[1307595900,1],
 
19729
[1307639100,1],
 
19730
[1307682300,1],
 
19731
[1307725500,1],
 
19732
[1307768700,1],
 
19733
[1307811900,1],
 
19734
[1307855100,1],
 
19735
[1307898300,1],
 
19736
[1307941500,1],
 
19737
[1307984700,1],
 
19738
[1308027900,1],
 
19739
[1308114300,1],
 
19740
[1308157500,1],
 
19741
[1308200700,1],
 
19742
[1308243900,1],
 
19743
[1308330300,1],
 
19744
[1308373500,1],
 
19745
[1308416700,1],
 
19746
[1308459900,1],
 
19747
[1308503100,1],
 
19748
[1308546300,1],
 
19749
[1308589500,1],
 
19750
[1308632700,1],
 
19751
[1308805500,1],
 
19752
[1308848700,1],
 
19753
[1308891900,1],
 
19754
[1308935100,1],
 
19755
[1308978300,1],
 
19756
[1309021500,1],
 
19757
[1309064700,1],
 
19758
[1309151100,1],
 
19759
[1309194300,1],
 
19760
[1309237500,1],
 
19761
[1309280700,1],
 
19762
[1309323900,1],
 
19763
[1309842300,1],
 
19764
[1309885500,1],
 
19765
[1309928700,1],
 
19766
[1309971900,1],
 
19767
[1310015100,1],
 
19768
[1310058300,1],
 
19769
[1310101500,1],
 
19770
[1310144700,1],
 
19771
[1310187900,1],
 
19772
[1310231100,1],
 
19773
[1310274300,1],
 
19774
[1310317500,1],
 
19775
[1310360700,1],
 
19776
[1310403900,1],
 
19777
[1310749500,1],
 
19778
[1310792700,1],
 
19779
[1310835900,1],
 
19780
[1310879100,1],
 
19781
[1310922300,1],
 
19782
[1310965500,1],
 
19783
[1311397500,1],
 
19784
[1311440700,1],
 
19785
[1311483900,1],
 
19786
[1311527100,1],
 
19787
[1311570300,1],
 
19788
[1311613500,1],
 
19789
[1311656700,1],
 
19790
[1311699900,1],
 
19791
[1311743100,1],
 
19792
[1311786300,1],
 
19793
[1311829500,1],
 
19794
[1311872700,1],
 
19795
[1311915900,1],
 
19796
[1311959100,1],
 
19797
[1312002300,1],
 
19798
[1312045500,1],
 
19799
[1312088700,1],
 
19800
[1312175100,1],
 
19801
[1312218300,1],
 
19802
[1312261500,1],
 
19803
[1312347900,1],
 
19804
[1312391100,1],
 
19805
[1312434300,1],
 
19806
[1312477500,1],
 
19807
[1312520700,1],
 
19808
[1312563900,1],
 
19809
[1312607100,1],
 
19810
[1312650300,1],
 
19811
[1312693500,1],
 
19812
[1312736700,1],
 
19813
[1312779900,1],
 
19814
[1312823100,1],
 
19815
[1312866300,1],
 
19816
[1312909500,1],
 
19817
[1312952700,1],
 
19818
[1312995900,1],
 
19819
[1313039100,1],
 
19820
[1313082300,1],
 
19821
[1313125500,1],
 
19822
[1313168700,1],
 
19823
[1313211900,1],
 
19824
[1313255100,1],
 
19825
[1313298300,1],
 
19826
[1313341500,1],
 
19827
[1313384700,1],
 
19828
[1313427900,1],
 
19829
[1313471100,1],
 
19830
[1313514300,1],
 
19831
[1313557500,1],
 
19832
[1313601300,1],
 
19833
[1313643900,1],
 
19834
[1313687100,1],
 
19835
[1313730300,1],
 
19836
[1313773500,1],
 
19837
[1313816700,1],
 
19838
[1313859900,1],
 
19839
[1313903100,1],
 
19840
[1313946300,1],
 
19841
[1314032700,1],
 
19842
[1314075900,1],
 
19843
[1314119100,1],
 
19844
[1314335100,1],
 
19845
[1314378300,1],
 
19846
[1314421500,1],
 
19847
[1314464700,1],
 
19848
[1314507900,1],
 
19849
[1314551100,1],
 
19850
[1314594300,1],
 
19851
[1314637500,1],
 
19852
[1314680700,1],
 
19853
[1314723900,1],
 
19854
[1314767100,1],
 
19855
[1314810300,1],
 
19856
[1314853500,1],
 
19857
[1314896700,1],
 
19858
[1314939900,1],
 
19859
[1314983100,1],
 
19860
[1315026300,1],
 
19861
[1315069500,1],
 
19862
[1315112700,1],
 
19863
[1315155900,1],
 
19864
[1315199100,1],
 
19865
[1315242300,1],
 
19866
[1315285500,1],
 
19867
[1315328700,1],
 
19868
[1315371900,1],
 
19869
[1315458300,1],
 
19870
[1315501500,1],
 
19871
[1315544700,1],
 
19872
[1315587900,1],
 
19873
[1315631100,1],
 
19874
[1315674300,1],
 
19875
[1315717500,1],
 
19876
[1315760700,1],
 
19877
[1315803900,1],
 
19878
[1315847100,1],
 
19879
[1315890300,1],
 
19880
[1315933500,1],
 
19881
[1315976700,1],
 
19882
[1316019900,1],
 
19883
[1316063100,1],
 
19884
[1316106300,1],
 
19885
[1316149500,1],
 
19886
[1316192700,1],
 
19887
[1316235900,1],
 
19888
[1316279100,1],
 
19889
[1316322300,1],
 
19890
[1316365500,1],
 
19891
[1316408700,1],
 
19892
[1316451900,1],
 
19893
[1316495100,1],
 
19894
[1316538300,1],
 
19895
[1316581500,1],
 
19896
[1316624700,1],
 
19897
[1316711100,1],
 
19898
[1316754300,1],
 
19899
[1316797500,1],
 
19900
[1317963900,1],
 
19901
[1318007100,1],
 
19902
[1318050300,1],
 
19903
[1318093500,1],
 
19904
[1318136700,1],
 
19905
[1318179900,1],
 
19906
[1318353900,1],
 
19907
[1318439400,1],
 
19908
[1318525500,1],
 
19909
[1318568700,1],
 
19910
[1318611900,1],
 
19911
[1318655100,1],
 
19912
[1318698300,1],
 
19913
[1318741500,1],
 
19914
[1318784700,1],
 
19915
[1318827900,1],
 
19916
[1318914300,1],
 
19917
[1318957500,1],
 
19918
[1319000700,1],
 
19919
[1319043900,1],
 
19920
[1319176200,1],
 
19921
[1319259900,1],
 
19922
[1319303100,1],
 
19923
[1319346300,1],
 
19924
[1319389500,1],
 
19925
[1319432700,1],
 
19926
[1319475900,1],
 
19927
[1319519100,1],
 
19928
[1319562300,1],
 
19929
[1319605500,1],
 
19930
[1319648700,1],
 
19931
[1319691900,1],
 
19932
[1319735100,1],
 
19933
[1319778300,1],
 
19934
[1319821500,1],
 
19935
[1319864700,1],
 
19936
[1319907900,1],
 
19937
[1319951100,1],
 
19938
[1319994300,1],
 
19939
[1320037500,1],
 
19940
[1320080700,1],
 
19941
[1320123900,1],
 
19942
[1320167100,1],
 
19943
[1320210300,1],
 
19944
[1320253500,1],
 
19945
[1320296700,1],
 
19946
[1320339900,1],
 
19947
[1320383100,1],
 
19948
[1320426300,1],
 
19949
[1320469500,1],
 
19950
[1320512700,1],
 
19951
[1320559500,1],
 
19952
[1320602700,1],
 
19953
[1320645900,1],
 
19954
[1320689100,1],
 
19955
[1320732300,1],
 
19956
[1320775500,1],
 
19957
[1320822300,1],
 
19958
[1320905100,1],
 
19959
[1320948300,1],
 
19960
[1320991500,1],
 
19961
[1321034700,1],
 
19962
[1321077900,1],
 
19963
[1321121100,1],
 
19964
[1321164300,1],
 
19965
[1321250700,1],
 
19966
[1321337100,1],
 
19967
[1321380300,1],
 
19968
[1321423500,1],
 
19969
[1321466700,1],
 
19970
[1321509900,1],
 
19971
[1321553100,1],
 
19972
[1321596300,1],
 
19973
[1321682700,1],
 
19974
[1321725900,1],
 
19975
[1321769100,1],
 
19976
[1321855500,1],
 
19977
[1321898700,1],
 
19978
[1321941900,1],
 
19979
[1321985100,1],
 
19980
[1322028300,1],
 
19981
[1322071500,1],
 
19982
[1322114700,1],
 
19983
[1322201100,1],
 
19984
[1322244300,1],
 
19985
[1322287500,1],
 
19986
[1322330700,1],
 
19987
[1322373900,1],
 
19988
[1322417100,1],
 
19989
[1322460300,1],
 
19990
[1322503500,1],
 
19991
[1322546700,1],
 
19992
[1322589900,1],
 
19993
[1322633100,1],
 
19994
[1322676300,1],
 
19995
[1322719500,1],
 
19996
[1322762700,1],
 
19997
[1322805900,1],
 
19998
[1322849100,1],
 
19999
[1322892300,1],
 
20000
[1322935500,1],
 
20001
[1322978700,1],
 
20002
[1323021900,1],
 
20003
[1323065100,1],
 
20004
[1323194700,1],
 
20005
[1323237900,1],
 
20006
[1323281100,1],
 
20007
[1323324300,1],
 
20008
[1323367500,1],
 
20009
[1323410700,1],
 
20010
[1323453900,1],
 
20011
[1323540300,1],
 
20012
[1323583500,1],
 
20013
[1323626700,1],
 
20014
[1323669900,1],
 
20015
[1323713100,1],
 
20016
[1323756300,1],
 
20017
[1323799500,1],
 
20018
[1323929100,1],
 
20019
[1323972300,1],
 
20020
[1324015500,1],
 
20021
[1324058700,1],
 
20022
[1324101900,1],
 
20023
[1324145100,1],
 
20024
[1324188300,1],
 
20025
[1324231500,1],
 
20026
[1324274700,1],
 
20027
[1324317900,1],
 
20028
[1324404300,1],
 
20029
[1324490700,1],
 
20030
[1324533900,1],
 
20031
[1324577100,1],
 
20032
[1324620300,1],
 
20033
[1324663500,1],
 
20034
[1324879500,1],
 
20035
[1324965900,1],
 
20036
[1325009100,1],
 
20037
[1325052300,1],
 
20038
[1325138700,1],
 
20039
[1325181900,1],
 
20040
[1325225100,1],
 
20041
[1325268300,1],
 
20042
[1325311500,1],
 
20043
[1325354700,1],
 
20044
[1325397900,1],
 
20045
[1325441100,1],
 
20046
[1325484300,1],
 
20047
[1325570700,1],
 
20048
[1325613900,1],
 
20049
[1325829900,1],
 
20050
[1326866700,1],
 
20051
[1326909900,1],
 
20052
[1326953100,1],
 
20053
[1326996300,1],
 
20054
[1327039500,1],
 
20055
[1327169100,1],
 
20056
[1327212300,1],
 
20057
[1327255500,1],
 
20058
[1327342200,1],
 
20059
[1327386000,1],
 
20060
[1327428600,1],
 
20061
[1327471500,1],
 
20062
[1327514700,1],
 
20063
[1327557900,1],
 
20064
[1327601100,1],
 
20065
[1327644300,1],
 
20066
[1327687500,1],
 
20067
[1327730700,1],
 
20068
[1327773900,1],
 
20069
[1327817100,1],
 
20070
[1327860300,1],
 
20071
[1327946700,1],
 
20072
[1328076300,1],
 
20073
[1328119500,1],
 
20074
[1328249100,1],
 
20075
[1328292300,1],
 
20076
[1328335500,1],
 
20077
[1328378700,1],
 
20078
[1328421900,1],
 
20079
[1328465100,1],
 
20080
[1328508300,1],
 
20081
[1328551500,1],
 
20082
[1328594700,1],
 
20083
[1328637900,1],
 
20084
[1328681100,1],
 
20085
[1328724300,1],
 
20086
[1328767500,1],
 
20087
[1328810700,1],
 
20088
[1328853900,1],
 
20089
[1329113100,1],
 
20090
[1329156300,1],
 
20091
[1329199500,1],
 
20092
[1329285900,1],
 
20093
[1329329100,1],
 
20094
[1329372300,1],
 
20095
[1329415500,1],
 
20096
[1329467100,1],
 
20097
[1329501900,1],
 
20098
[1329545100,1],
 
20099
[1329588300,1],
 
20100
[1329631500,1],
 
20101
[1329717900,1],
 
20102
[1329761100,1],
 
20103
[1329847500,1],
 
20104
[1331528700,1],
 
20105
[1331571900,1],
 
20106
[1331615100,1],
 
20107
[1331658300,1],
 
20108
[1331701500,1],
 
20109
[1331787900,1],
 
20110
[1331831100,1],
 
20111
[1331874300,1],
 
20112
[1331917500,1],
 
20113
[1332003900,1],
 
20114
[1332047100,1],
 
20115
[1332090300,1],
 
20116
[1332133500,1],
 
20117
[1332176700,1],
 
20118
[1332219900,1],
 
20119
[1332263100,1],
 
20120
[1332306300,1],
 
20121
[1332349500,1],
 
20122
[1332392700,1],
 
20123
[1332435900,1],
 
20124
[1332479100,1],
 
20125
[1332522300,1],
 
20126
[1332565500,1],
 
20127
[1332608700,1],
 
20128
[1332651900,1],
 
20129
[1332695100,1],
 
20130
[1332738300,1],
 
20131
[1332781500,1],
 
20132
[1332824700,1],
 
20133
[1332867900,1],
 
20134
[1332911100,1],
 
20135
[1332954300,1],
 
20136
[1332997500,1],
 
20137
[1333040700,1],
 
20138
[1333083900,1],
 
20139
[1333127100,1],
 
20140
[1333170300,1],
 
20141
[1333213500,1],
 
20142
[1333256700,1],
 
20143
[1333299900,1],
 
20144
[1333343100,1],
 
20145
[1333386300,1],
 
20146
[1333429500,1],
 
20147
[1333472700,1],
 
20148
[1333515900,1],
 
20149
[1333559100,1],
 
20150
[1333602300,1],
 
20151
[1333688700,1],
 
20152
[1333731900,1],
 
20153
[1333775100,1],
 
20154
[1333818300,1],
 
20155
[1333861500,1],
 
20156
[1333904700,1],
 
20157
[1333947900,1],
 
20158
[1334120700,1],
 
20159
[1334207100,1],
 
20160
[1334250300,1],
 
20161
[1334301600,1],
 
20162
[1334379900,1],
 
20163
[1334423100,1],
 
20164
[1334466300,1],
 
20165
[1334509500,1],
 
20166
[1334552700,1],
 
20167
[1334595900,1],
 
20168
[1334682300,1],
 
20169
[1334725500,1],
 
20170
[1334768700,1],
 
20171
[1334811900,1],
 
20172
[1334855100,1],
 
20173
[1334898300,1],
 
20174
[1334941500,1],
 
20175
[1334984700,1],
 
20176
[1335027900,1],
 
20177
[1335071100,1],
 
20178
[1335114300,1],
 
20179
[1335157500,1],
 
20180
[1335200700,1],
 
20181
[1335243900,1],
 
20182
[1335287100,1],
 
20183
[1335330300,1],
 
20184
[1335416700,1],
 
20185
[1335459900,1],
 
20186
[1335507900,1],
 
20187
[1335546300,1],
 
20188
[1335589500,1],
 
20189
[1335632700,1],
 
20190
[1335675900,1],
 
20191
[1335719100,1],
 
20192
[1335762300,1],
 
20193
[1335805500,1],
 
20194
[1335848700,1],
 
20195
[1335891900,1],
 
20196
[1335935100,1],
 
20197
[1335978300,1],
 
20198
[1336021500,1],
 
20199
[1336064700,1],
 
20200
[1336755900,1],
 
20201
[1336799100,1],
 
20202
[1336842300,1],
 
20203
[1336885500,1],
 
20204
[1336928700,1],
 
20205
[1336971900,1],
 
20206
[1337015100,1],
 
20207
[1337144700,1],
 
20208
[1337187900,1],
 
20209
[1337231100,1],
 
20210
[1337274300,1],
 
20211
[1337317500,1],
 
20212
[1337360700,1],
 
20213
[1337403900,1],
 
20214
[1337447100,1],
 
20215
[1337490300,1],
 
20216
[1337533500,1],
 
20217
[1337576700,1],
 
20218
[1337663100,1],
 
20219
[1337706300,1],
 
20220
[1337749500,1],
 
20221
[1337792700,1],
 
20222
[1337922300,1],
 
20223
[1338008700,1],
 
20224
[1338095100,1],
 
20225
[1338181500,1],
 
20226
[1338224700,1],
 
20227
[1338267900,1],
 
20228
[1338311100,1],
 
20229
[1338354300,1],
 
20230
[1338440700,1],
 
20231
[1338483900,1],
 
20232
[1338527100,1],
 
20233
[1338570300,1],
 
20234
[1338613500,1],
 
20235
[1338656700,1],
 
20236
[1338699900,1],
 
20237
[1338743100,1],
 
20238
[1338786300,1],
 
20239
[1338829500,1],
 
20240
[1338872700,1],
 
20241
[1338905100,1],
 
20242
[1338909900,1],
 
20243
[1338912600,1],
 
20244
[1338912900,1],
 
20245
[1338913200,1],
 
20246
[1338913500,1],
 
20247
[1338916200,1],
 
20248
[1338916500,1],
 
20249
[1338916800,1],
 
20250
[1338917100,1],
 
20251
[1338919800,1],
 
20252
[1338920100,1],
 
20253
[1338920400,1],
 
20254
[1338920700,1],
 
20255
[1338923400,1],
 
20256
[1338923700,1],
 
20257
[1338924000,1],
 
20258
[1338924300,1],
 
20259
[1338927000,1],
 
20260
[1338927300,1],
 
20261
[1338927600,1],
 
20262
[1338927900,1],
 
20263
[1338930600,1],
 
20264
[1338930900,1],
 
20265
[1338931200,1],
 
20266
[1338931500,1],
 
20267
[1338934200,1],
 
20268
[1338934500,1],
 
20269
[1338934800,1],
 
20270
[1338935100,1],
 
20271
[1338937800,1],
 
20272
[1338938100,1],
 
20273
[1338938400,1],
 
20274
[1338938700,1],
 
20275
[1338941400,1],
 
20276
[1338941700,1],
 
20277
[1338942000,1],
 
20278
[1338942300,1],
 
20279
[1338945000,1],
 
20280
[1338945300,1],
 
20281
[1338945600,1],
 
20282
[1338945900,1],
 
20283
[1339563900,1],
 
20284
[1339607100,1],
 
20285
[1339650300,1],
 
20286
[1339693500,1],
 
20287
[1339736700,1],
 
20288
[1339826700,1],
 
20289
[1339869900,1],
 
20290
[1339913100,1],
 
20291
[1339956300,1],
 
20292
[1339999500,1],
 
20293
[1340211900,1],
 
20294
[1340255100,1],
 
20295
[1340298300,1],
 
20296
[1340341500,1],
 
20297
[1340384700,1],
 
20298
[1340427900,1],
 
20299
[1340471100,1],
 
20300
[1340514300,1],
 
20301
[1340557500,1],
 
20302
[1340600700,1],
 
20303
[1340643900,1],
 
20304
[1340687100,1],
 
20305
[1340730300,1],
 
20306
[1340773500,1],
 
20307
[1340816700,1],
 
20308
[1340859900,1],
 
20309
[1340903100,1],
 
20310
[1340946300,1],
 
20311
[1340989500,1],
 
20312
[1341032700,1],
 
20313
[1341205500,1],
 
20314
[1341248700,1],
 
20315
[1341946800,1],
 
20316
[1341983100,1],
 
20317
[1342069500,1],
 
20318
[1342158600,1],
 
20319
[1342415100,1],
 
20320
[1342587900,1],
 
20321
[1342674300,1],
 
20322
[1342803900,1],
 
20323
[1342847100,1],
 
20324
[1342890300,1],
 
20325
[1343019900,1],
 
20326
[1343063100,1],
 
20327
[1345179900,1],
 
20328
[1345266300,1],
 
20329
[1345309500,1],
 
20330
[1345352700,1],
 
20331
[1345395900,1],
 
20332
[1345439100,1],
 
20333
[1345525500,1],
 
20334
[1345568700,1],
 
20335
[1345611900,1],
 
20336
[1345655100,1],
 
20337
[1345698300,1],
 
20338
[1345741500,1],
 
20339
[1345784700,1],
 
20340
[1345871100,1],
 
20341
[1345957500,1],
 
20342
[1346000700,1],
 
20343
[1346043900,1],
 
20344
[1346087100,1],
 
20345
[1346130300,1],
 
20346
[1346173500,1],
 
20347
[1346259900,1],
 
20348
[1346346300,1],
 
20349
[1346432700,1],
 
20350
[1346519100,1],
 
20351
[1346562300,1],
 
20352
[1346605500,1],
 
20353
[1346821500,1],
 
20354
[1346864700,1],
 
20355
[1346907900,1],
 
20356
[1346951100,1],
 
20357
[1346994300,1],
 
20358
[1347037500,1],
 
20359
[1347080700,1],
 
20360
[1347426300,1],
 
20361
[1347469500,1],
 
20362
[1347512700,1],
 
20363
[1347555900,1],
 
20364
[1347599100,1],
 
20365
[1347642300,1],
 
20366
[1347685500,1],
 
20367
[1347728700,1],
 
20368
[1347771900,1],
 
20369
[1347815100,1],
 
20370
[1347858300,1],
 
20371
[1347901500,1],
 
20372
[1347944700,1],
 
20373
[1347987900,1],
 
20374
[1348031100,1],
 
20375
[1348074300,1],
 
20376
[1348117500,1],
 
20377
[1348160700,1],
 
20378
[1348203900,1],
 
20379
[1348247100,1],
 
20380
[1348290300,1],
 
20381
[1348333500,1],
 
20382
[1348376700,1],
 
20383
[1348463100,1],
 
20384
[1348506300,1],
 
20385
[1348549500,1],
 
20386
[1348592700,1],
 
20387
[1348679100,1],
 
20388
[1348722300,1],
 
20389
[1348765500,1],
 
20390
[1348808700,1],
 
20391
[1348851900,1],
 
20392
[1348895100,1],
 
20393
[1348938300,1],
 
20394
[1348981500,1],
 
20395
[1349024700,1],
 
20396
[1349067900,1],
 
20397
[1349154300,1],
 
20398
[1349197500,1],
 
20399
[1349240700,1],
 
20400
[1349283900,1],
 
20401
[1349327100,1],
 
20402
[1349370300,1],
 
20403
[1349413500,1],
 
20404
[1349456700,1],
 
20405
[1349499900,1],
 
20406
[1349543100,1],
 
20407
[1349586300,1],
 
20408
[1349629500,1],
 
20409
[1349672700,1],
 
20410
[1349759100,1],
 
20411
[1349802300,1],
 
20412
[1349845500,1],
 
20413
[1349888700,1],
 
20414
[1349931900,1],
 
20415
[1350018300,1],
 
20416
[1350061500,1],
 
20417
[1350104700,1],
 
20418
[1350277500,1],
 
20419
[1350536700,1],
 
20420
[1350579900,1],
 
20421
[1350623100,1],
 
20422
[1350666300,1],
 
20423
[1350709500,1],
 
20424
[1350752700,1],
 
20425
[1350795900,1],
 
20426
[1350839100,1],
 
20427
[1350882300,1],
 
20428
[1350925500,1],
 
20429
[1350968700,1],
 
20430
[1351011900,1],
 
20431
[1351055100,1],
 
20432
[1351141500,1],
 
20433
[1351184700,1],
 
20434
[1351227900,1],
 
20435
[1351271100,1],
 
20436
[1351314300,1],
 
20437
[1351357500,1],
 
20438
[1351400700,1],
 
20439
[1351443900,1],
 
20440
[1351487100,1],
 
20441
[1351616700,1],
 
20442
[1351659900,1],
 
20443
[1351703100,1],
 
20444
[1351746300,1],
 
20445
[1351832700,1],
 
20446
[1351875900,1],
 
20447
[1351919100,1],
 
20448
[1351962300,1],
 
20449
[1352009100,1],
 
20450
[1352052300,1],
 
20451
[1352095500,1],
 
20452
[1352138700,1],
 
20453
[1352181900,1],
 
20454
[1352225100,1],
 
20455
[1352268300,1],
 
20456
[1352354700,1],
 
20457
[1352441100,1],
 
20458
[1352484300,1],
 
20459
[1352527500,1],
 
20460
[1352570700,1],
 
20461
[1352700300,1],
 
20462
[1352743500,1],
 
20463
[1352789100,1],
 
20464
[1352829900,1],
 
20465
[1352873100,1],
 
20466
[1352916300,1],
 
20467
[1352959500,1],
 
20468
[1353002700,1],
 
20469
[1353045900,1],
 
20470
[1353132300,1],
 
20471
[1353175500,1],
 
20472
[1353218700,1],
 
20473
[1353261900,1],
 
20474
[1353305100,1],
 
20475
[1353391500,1],
 
20476
[1353434700,1],
 
20477
[1353564300,1],
 
20478
[1353607500,1],
 
20479
[1353650700,1],
 
20480
[1353693900,1],
 
20481
[1353737100,1],
 
20482
[1353823500,1],
 
20483
[1353866700,1],
 
20484
[1353909900,1],
 
20485
[1353953100,1],
 
20486
[1353996300,1],
 
20487
[1354039500,1],
 
20488
[1354082700,1],
 
20489
[1354169100,1],
 
20490
[1354255500,1],
 
20491
[1354298700,1],
 
20492
[1354341900,1],
 
20493
[1354428300,1],
 
20494
[1354471500,1],
 
20495
[1354514700,1],
 
20496
[1354557900,1],
 
20497
[1358402700,1],
 
20498
[1358445900,1],
 
20499
[1358489100,1],
 
20500
[1358575500,1],
 
20501
[1358748300,1],
 
20502
[1358791500,1],
 
20503
[1358834700,1],
 
20504
[1358877900,1],
 
20505
[1358921100,1],
 
20506
[1358964300,1],
 
20507
[1359007500,1],
 
20508
[1359050700,1],
 
20509
[1359093900,1],
 
20510
[1359137100,1],
 
20511
[1359180300,1],
 
20512
[1359223500,1],
 
20513
[1359266700,1],
 
20514
[1359309900,1],
 
20515
[1359353100,1],
 
20516
[1359396300,1],
 
20517
[1359439500,1],
 
20518
[1359482700,1],
 
20519
[1359525900,1],
 
20520
[1359569100,1],
 
20521
[1359612300,1],
 
20522
[1359655500,1],
 
20523
[1359698700,1],
 
20524
[1359741900,1],
 
20525
[1359785100,1],
 
20526
[1359871500,1],
 
20527
[1359914700,1],
 
20528
[1359957900,1],
 
20529
[1360001100,1],
 
20530
[1360044300,1],
 
20531
[1360087500,1],
 
20532
[1360310700,1],
 
20533
[1360346700,1],
 
20534
[1360389900,1],
 
20535
[1360433100,1],
 
20536
[1360476300,1],
 
20537
[1360519500,1],
 
20538
[1360562700,1],
 
20539
[1360605900,1],
 
20540
[1360649100,1],
 
20541
[1360692300,1],
 
20542
[1360735500,1],
 
20543
[1360778700,1],
 
20544
[1360821900,1],
 
20545
[1360865100,1],
 
20546
[1360908300,1],
 
20547
[1360951500,1],
 
20548
[1360994700,1],
 
20549
[1361037900,1],
 
20550
[1361081100,1],
 
20551
[1361124300,1],
 
20552
[1361167500,1],
 
20553
[1361210700,1],
 
20554
[1361253900,1],
 
20555
[1361340300,1],
 
20556
[1361383500,1],
 
20557
[1361426700,1],
 
20558
[1361469900,1],
 
20559
[1361513100,1],
 
20560
[1361556300,1],
 
20561
[1361599500,1],
 
20562
[1361642700,1],
 
20563
[1361685900,1],
 
20564
[1361729100,1],
 
20565
[1361772300,1],
 
20566
[1361815500,1],
 
20567
[1361858700,1],
 
20568
[1361901900,1],
 
20569
[1361945100,1],
 
20570
[1361988300,1],
 
20571
[1362031500,1],
 
20572
[1362074700,1],
 
20573
[1362161100,1],
 
20574
[1362204300,1],
 
20575
[1362247500,1],
 
20576
[1362290700,1],
 
20577
[1362333900,1],
 
20578
[1362377100,1],
 
20579
[1362420300,1],
 
20580
[1362463500,1],
 
20581
[1362506700,1],
 
20582
[1362549900,1],
 
20583
[1362593100,1],
 
20584
[1362636300,1],
 
20585
[1362679500,1],
 
20586
[1362722700,1],
 
20587
[1362765900,1],
 
20588
[1362809100,1],
 
20589
[1362852300,1],
 
20590
[1362895500,1],
 
20591
[1362935100,1],
 
20592
[1362978300,1],
 
20593
[1363021500,1],
 
20594
[1363064700,1],
 
20595
[1363107900,1],
 
20596
[1363151100,1],
 
20597
[1363194300,1],
 
20598
[1363237500,1],
 
20599
[1363280700,1],
 
20600
[1363323900,1],
 
20601
[1363367100,1],
 
20602
[1363408800,1],
 
20603
[1363452000,1],
 
20604
[1363495200,1],
 
20605
[1363538400,1],
 
20606
[1363581600,1],
 
20607
[1363624800,1],
 
20608
[1363712700,1],
 
20609
[1363755900,1],
 
20610
[1363799100,1],
 
20611
[1363842300,1],
 
20612
[1363885500,1],
 
20613
[1363928700,1],
 
20614
[1363971900,1],
 
20615
[1364015100,1],
 
20616
[1364058300,1],
 
20617
[1364101500,1],
 
20618
[1364144700,1],
 
20619
[1364187900,1],
 
20620
[1364234700,1],
 
20621
[1364274300,1],
 
20622
[1364317500,1],
 
20623
[1364447100,1],
 
20624
[1364533500,1],
 
20625
[1364580300,1],
 
20626
[1364619900,1],
 
20627
[1364663100,1],
 
20628
[1364706300,1],
 
20629
[1364749500,1],
 
20630
[1364792700,1],
 
20631
[1364835900,1],
 
20632
[1364879100,1],
 
20633
[1364922300,1],
 
20634
[1365008700,1],
 
20635
[1365051900,1],
 
20636
[1365095100,1],
 
20637
[1365138300,1],
 
20638
[1365181500,1],
 
20639
[1365224700,1],
 
20640
[1365267900,1],
 
20641
[1365311100,1],
 
20642
[1365354300,1],
 
20643
[1365397500,1],
 
20644
[1365440700,1],
 
20645
[1365483900,1],
 
20646
[1365527100,1],
 
20647
[1365570300,1],
 
20648
[1365613500,1],
 
20649
[1365656700,1],
 
20650
[1365699900,1],
 
20651
[1365743100,1],
 
20652
[1365786300,1],
 
20653
[1365829500,1],
 
20654
[1365872700,1],
 
20655
[1365959100,1],
 
20656
[1366002300,1],
 
20657
[1366045500,1],
 
20658
[1366088700,1],
 
20659
[1366131900,1],
 
20660
[1366175100,1],
 
20661
[1366261500,1],
 
20662
[1366304700,1],
 
20663
[1366347900,1],
 
20664
[1366391100,1],
 
20665
[1366434300,1],
 
20666
[1366477500,1],
 
20667
[1366520700,1],
 
20668
[1366563900,1],
 
20669
[1366607100,1],
 
20670
[1366650300,1],
 
20671
[1366693500,1],
 
20672
[1366736700,1],
 
20673
[1366779900,1],
 
20674
[1366823100,1],
 
20675
[1366866300,1],
 
20676
[1366909500,1],
 
20677
[1366952700,1],
 
20678
[1366995900,1],
 
20679
[1367039100,1],
 
20680
[1367125500,1],
 
20681
[1367168700,1],
 
20682
[1367211900,1],
 
20683
[1367255100,1],
 
20684
[1367298300,1],
 
20685
[1367341500,1],
 
20686
[1367384700,1],
 
20687
[1367427900,1],
 
20688
[1367471100,1],
 
20689
[1367514300,1],
 
20690
[1367557500,1],
 
20691
[1367600700,1],
 
20692
[1367643900,1],
 
20693
[1367687100,1],
 
20694
[1367730300,1],
 
20695
[1367773500,1],
 
20696
[1367816700,1],
 
20697
[1367859900,1],
 
20698
[1367903100,1],
 
20699
[1367946300,1],
 
20700
[1367989500,1],
 
20701
[1368032700,1],
 
20702
[1368075900,1],
 
20703
[1368119100,1],
 
20704
[1368162300,1],
 
20705
[1368205500,1],
 
20706
[1368248700,1],
 
20707
[1368291900,1],
 
20708
[1368335100,1],
 
20709
[1368421500,1],
 
20710
[1368464700,1],
 
20711
[1368507900,1],
 
20712
[1368551100,1],
 
20713
[1368594300,1],
 
20714
[1368680700,1],
 
20715
[1368723900,1],
 
20716
[1368813900,1],
 
20717
[1368853500,1],
 
20718
[1368896700,1],
 
20719
[1368939900,1],
 
20720
[1368983100,1],
 
20721
[1369026300,1],
 
20722
[1369069500,1],
 
20723
[1369112700,1],
 
20724
[1369155900,1],
 
20725
[1369199100,1],
 
20726
[1369242300,1],
 
20727
[1369285500,1],
 
20728
[1369328700,1],
 
20729
[1369371900,1],
 
20730
[1369415100,1],
 
20731
[1369458300,1],
 
20732
[1369501500,1],
 
20733
[1369544700,1],
 
20734
[1369587900,1],
 
20735
[1369674300,1],
 
20736
[1369760700,1],
 
20737
[1369803900,1],
 
20738
[1369847100,1],
 
20739
[1369890300,1],
 
20740
[1369933500,1],
 
20741
[1369976700,1],
 
20742
[1370019900,1],
 
20743
[1370106300,1],
 
20744
[1370192700,1],
 
20745
[1370279100,1],
 
20746
[1370365500,1],
 
20747
[1370408700,1],
 
20748
[1370451900,1],
 
20749
[1370495100,1],
 
20750
[1370538300,1],
 
20751
[1370581500,1],
 
20752
[1370624700,1],
 
20753
[1370667900,1],
 
20754
[1370711100,1],
 
20755
[1370754300,1],
 
20756
[1370797500,1],
 
20757
[1370840700,1],
 
20758
[1370927100,1],
 
20759
[1370970300,1],
 
20760
[1371013500,1],
 
20761
[1371099900,1],
 
20762
[1371143100,1],
 
20763
[1371191100,1],
 
20764
[1371229500,1],
 
20765
[1371272700,1],
 
20766
[1371402300,1],
 
20767
[1371445500,1],
 
20768
[1371488700,1],
 
20769
[1371531900,1],
 
20770
[1371618300,1],
 
20771
[1371661500,1],
 
20772
[1371704700,1],
 
20773
[1371791100,1],
 
20774
[1371834300,1],
 
20775
[1372741500,1],
 
20776
[1372827900,1],
 
20777
[1372914300,1],
 
20778
[1372957500,1],
 
20779
[1373000700,1],
 
20780
[1373043900,1],
 
20781
[1373087100,1],
 
20782
[1373130300,1],
 
20783
[1373173500,1],
 
20784
[1373259900,1],
 
20785
[1373346300,1],
 
20786
[1373389500,1],
 
20787
[1373432700,1],
 
20788
[1373475900,1],
 
20789
[1373519100,1],
 
20790
[1373562300,1],
 
20791
[1373605500,1],
 
20792
[1373648700,1],
 
20793
[1373691900,1],
 
20794
[1373735100,1],
 
20795
[1373778300,1],
 
20796
[1373821500,1],
 
20797
[1373864700,1],
 
20798
[1373907900,1],
 
20799
[1373951100,1],
 
20800
[1374037500,1],
 
20801
[1374123900,1],
 
20802
[1374167100,1],
 
20803
[1374210300,1],
 
20804
[1374253500,1],
 
20805
[1374309300,1],
 
20806
[1374336300,1],
 
20807
[1374469500,1],
 
20808
[1374512700,1],
 
20809
[1374555900,1],
 
20810
[1374599100,1],
 
20811
[1374642300,1],
 
20812
[1374685500,1],
 
20813
[1374728700,1],
 
20814
[1374771900,1],
 
20815
[1374815100,1],
 
20816
[1374858300,1],
 
20817
[1375031100,1],
 
20818
[1375074300,1],
 
20819
[1375160700,1],
 
20820
[1375203900,1],
 
20821
[1375247100,1],
 
20822
[1375290300,1],
 
20823
[1375333500,1],
 
20824
[1375419900,1],
 
20825
[1375506300,1],
 
20826
[1375549500,1],
 
20827
[1375592700,1],
 
20828
[1375635900,1],
 
20829
[1375765500,1],
 
20830
[1375808700,1],
 
20831
[1375851900,1],
 
20832
[1375895100,1]
 
20833
]
 
 
b'\\ No newline at end of file'