~percona-toolkit-dev/percona-toolkit/1.0

« back to all changes in this revision

Viewing changes to docs/dev/html/search/GeneralM.html

  • Committer: Daniel Nichter
  • Date: 2011-07-14 19:08:47 UTC
  • Revision ID: daniel@percona.com-20110714190847-lggalkuvdrh7c4jp
Add standard pkg files (COPYING, README, etc.), percona-toolkit.pod, and user docs.  Remove dev/docs/html.

Show diffs side-by-side

added added

removed removed

Lines of Context:
1
 
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0//EN" "http://www.w3.org/TR/REC-html40/strict.dtd">
2
 
 
3
 
<html><head><meta http-equiv="Content-Type" content="text/html; charset=UTF-8"><link rel="stylesheet" type="text/css" href="../styles/main.css"><script language=JavaScript src="../javascript/main.js"></script></head><body class="PopupSearchResultsPage" onLoad="NDOnLoad()"><script language=JavaScript><!--
4
 
if (browserType) {document.write("<div class=" + browserType + ">");if (browserVer) {document.write("<div class=" + browserVer + ">"); }}// --></script>
5
 
 
6
 
<!--  Generated by Natural Docs, version 1.52 -->
7
 
<!--  http://www.naturaldocs.org  -->
8
 
 
9
 
<!-- saved from url=(0026)http://www.naturaldocs.org -->
10
 
 
11
 
 
12
 
 
13
 
 
14
 
<div id=Index><div class=SRStatus id=Loading>Loading...</div><table border=0 cellspacing=0 cellpadding=0><div class=SRResult id=SR_MaatkitTest><div class=IEntry><a href="../files/modules/MaatkitTest-pm.html#MaatkitTest" target=_parent class=ISymbol>MaatkitTest</a></div></div><div class=SRResult id=SR_main><div class=IEntry><a href="javascript:searchResults.Toggle('SR_main')" class=ISymbol>main</a><div class=ISubIndex><a href="../files/tools/pt-archiver-pm.html#pt_archiver.main" target=_parent class=IParent>pt_archiver</a><a href="../files/tools/pt-config-diff-pm.html#pt_config_diff.main" target=_parent class=IParent>pt_config_diff</a><a href="../files/tools/pt-deadlock-logger-pm.html#pt_deadlock_logger.main" target=_parent class=IParent>pt_deadlock_logger</a><a href="../files/tools/pt-duplicate-key-checker-pm.html#pt_duplicate_key_checker.main" target=_parent class=IParent>pt_duplicate_key_checker</a><a href="../files/tools/pt-fifo-split-pm.html#pt_fifo_split.main" target=_parent class=IParent>pt_fifo_split</a><a href="../files/tools/pt-find-pm.html#pt_find.main" target=_parent class=IParent>pt_find</a><a href="../files/tools/pt-fk-error-logger-pm.html#pt_fk_error_logger.main" target=_parent class=IParent>pt_fk_error_logger</a><a href="../files/tools/pt-heartbeat-pm.html#pt_heartbeat.main" target=_parent class=IParent>pt_heartbeat</a><a href="../files/tools/pt-index-usage-pm.html#pt_index_usage.main" target=_parent class=IParent>pt_index_usage</a><a href="../files/tools/pt-kill-pm.html#pt_kill.main" target=_parent class=IParent>pt_kill</a><a href="../files/tools/pt-log-player-pm.html#pt_log_player.main" target=_parent class=IParent>pt_log_player</a><a href="../files/tools/pt-online-schema-change-pm.html#pt_online_schema_change.main" target=_parent class=IParent>pt_online_schema_change</a><a href="../files/tools/pt-profile-compact-pm.html#pt_profile_compact.main" target=_parent class=IParent>pt_profile_compact</a><a href="../files/tools/pt-query-advisor-pm.html#pt_query_advisor.main" target=_parent class=IParent>pt_query_advisor</a><a href="../files/tools/pt-query-digest-pm.html#pt_query_digest.main" target=_parent class=IParent>pt_query_digest</a><a href="../files/tools/pt-query-profiler-pm.html#pt_query_profiler.main" target=_parent class=IParent>pt_query_profiler</a><a href="../files/tools/pt-schema-advisor-pm.html#pt_schema_advisor.main" target=_parent class=IParent>pt_schema_advisor</a><a href="../files/tools/pt-show-grants-pm.html#pt_show_grants.main" target=_parent class=IParent>pt_show_grants</a><a href="../files/tools/pt-slave-delay-pm.html#pt_slave_delay.main" target=_parent class=IParent>pt_slave_delay</a><a href="../files/tools/pt-slave-find-pm.html#pt_slave_find.main" target=_parent class=IParent>pt_slave_find</a><a href="../files/tools/pt-slave-restart-pm.html#pt_slave_restart.main" target=_parent class=IParent>pt_slave_restart</a><a href="../files/tools/pt-table-checksum-pm.html#pt_table_checksum.main" target=_parent class=IParent>pt_table_checksum</a><a href="../files/tools/pt-table-sync-pm.html#pt_table_sync.main" target=_parent class=IParent>pt_table_sync</a><a href="../files/tools/pt-table-usage-pm.html#pt_table_usage.main" target=_parent class=IParent>pt_table_usage</a><a href="../files/tools/pt-tcp-model-pm.html#pt_tcp_model.main" target=_parent class=IParent>pt_tcp_model</a><a href="../files/tools/pt-trend-pm.html#pt_trend.main" target=_parent class=IParent>pt_trend</a><a href="../files/tools/pt-upgrade-pm.html#pt_upgrade.main" target=_parent class=IParent>pt_upgrade</a><a href="../files/tools/pt-variable-advisor-pm.html#pt_variable_advisor.main" target=_parent class=IParent>pt_variable_advisor</a><a href="../files/tools/pt-visual-explain-pm.html#pt_visual_explain.main" target=_parent class=IParent>pt_visual_explain</a></div></div></div><div class=SRResult id=SR_make_undaction_undsubs><div class=IEntry><a href="../files/tools/pt-table-sync-pm.html#pt_table_sync.make_action_subs" target=_parent class=ISymbol>make_action_subs</a>, <span class=IParent>pt_table_sync</span></div></div><div class=SRResult id=SR_make_undalt_undattrib><div class=IEntry><a href="javascript:searchResults.Toggle('SR_make_undalt_undattrib')" class=ISymbol>make_alt_attrib</a><div class=ISubIndex><a href="../files/modules/EventAggregator-pm.html#EventAggregator.make_alt_attrib" target=_parent class=IParent>EventAggregator</a><a href="../files/tools/pt-query-digest-pm.html#pt_query_digest.make_alt_attrib" target=_parent class=IParent>pt_query_digest</a></div></div></div><div class=SRResult id=SR_make_undchecksum><div class=IEntry><a href="../files/modules/Transformers-pm.html#Transformers.make_checksum" target=_parent class=ISymbol>make_checksum</a>, <span class=IParent>Transformers</span></div></div><div class=SRResult id=SR_make_undchecksum_undqueries><div class=IEntry><a href="../files/modules/TableSyncer-pm.html#TableSyncer.make_checksum_queries" target=_parent class=ISymbol>make_checksum_queries</a>, <span class=IParent>TableSyncer</span></div></div><div class=SRResult id=SR_make_undchecksum_undquery><div class=IEntry><a href="../files/modules/TableChecksum-pm.html#TableChecksum.make_checksum_query" target=_parent class=ISymbol>make_checksum_query</a>, <span class=IParent>TableChecksum</span></div></div><div class=SRResult id=SR_make_undclosures><div class=IEntry><a href="../files/modules/SysLogParser-pm.html#SysLogParser.make_closures" target=_parent class=ISymbol>make_closures</a>, <span class=IParent>SysLogParser</span></div></div><div class=SRResult id=SR_make_undDELETE><div class=IEntry><a href="../files/modules/ChangeHandler-pm.html#ChangeHandler.make_DELETE" target=_parent class=ISymbol>make_DELETE</a>, <span class=IParent>ChangeHandler</span></div></div><div class=SRResult id=SR_make_undevent><div class=IEntry><a href="javascript:searchResults.Toggle('SR_make_undevent')" class=ISymbol>make_event</a><div class=ISubIndex><a href="../files/modules/MemcachedProtocolParser-pm.html#MemcachedProtocolParser.make_event" target=_parent class=IParent>MemcachedProtocolParser</a><a href="../files/modules/Processlist-pm.html#Processlist.make_event" target=_parent class=IParent>Processlist</a><a href="../files/modules/ProtocolParser-pm.html#ProtocolParser.make_event" target=_parent class=IParent>ProtocolParser</a><a href="../files/modules/SimpleTCPDumpParser-pm.html#SimpleTCPDumpParser.make_event" target=_parent class=IParent>SimpleTCPDumpParser</a><a href="../files/modules/TCPRequestAggregator-pm.html#TCPRequestAggregator.make_event" target=_parent class=IParent>TCPRequestAggregator</a></div></div></div><div class=SRResult id=SR_make_undevent_undheader><div class=IEntry><a href="../files/modules/QueryReportFormatter-pm.html#QueryReportFormatter.make_event_header" target=_parent class=ISymbol>make_event_header</a>, <span class=IParent>QueryReportFormatter</span></div></div><div class=SRResult id=SR_make_undfetch_undback_undquery><div class=IEntry><a href="../files/modules/ChangeHandler-pm.html#ChangeHandler.make_fetch_back_query" target=_parent class=ISymbol>make_fetch_back_query</a>, <span class=IParent>ChangeHandler</span></div></div><div class=SRResult id=SR_make_undglobal_undheader><div class=IEntry><a href="../files/modules/QueryReportFormatter-pm.html#QueryReportFormatter.make_global_header" target=_parent class=ISymbol>make_global_header</a>, <span class=IParent>QueryReportFormatter</span></div></div><div class=SRResult id=SR_make_undhandler><div class=IEntry><a href="javascript:searchResults.Toggle('SR_make_undhandler')" class=ISymbol>make_handler</a><div class=ISubIndex><a href="../files/modules/EventAggregator-pm.html#EventAggregator.make_handler" target=_parent class=IParent>EventAggregator</a><a href="../files/modules/EventTimeline-pm.html#EventTimeline.make_handler" target=_parent class=IParent>EventTimeline</a></div></div></div><div class=SRResult id=SR_make_undINSERT><div class=IEntry><a href="../files/modules/ChangeHandler-pm.html#ChangeHandler.make_INSERT" target=_parent class=ISymbol>make_INSERT</a>, <span class=IParent>ChangeHandler</span></div></div><div class=SRResult id=SR_make_undlabel><div class=IEntry><a href="javascript:searchResults.Toggle('SR_make_undlabel')" class=ISymbol>make_label</a><div class=ISubIndex><a href="../files/modules/QueryReportFormatter-pm.html#QueryReportFormatter.make_label" target=_parent class=IParent>QueryReportFormatter</a><a href="../files/modules/UpgradeReportFormatter-pm.html#UpgradeReportFormatter.make_label" target=_parent class=IParent>UpgradeReportFormatter</a></div></div></div><div class=SRResult id=SR_make_undPID_undfile><div class=IEntry><a href="../files/modules/Daemon-pm.html#Daemon.make_PID_file" target=_parent class=ISymbol>make_PID_file</a>, <span class=IParent>Daemon</span></div></div><div class=SRResult id=SR_make_undREPLACE><div class=IEntry><a href="../files/modules/ChangeHandler-pm.html#ChangeHandler.make_REPLACE" target=_parent class=ISymbol>make_REPLACE</a>, <span class=IParent>ChangeHandler</span></div></div><div class=SRResult id=SR_make_undrow><div class=IEntry><a href="../files/modules/ChangeHandler-pm.html#ChangeHandler.make_row" target=_parent class=ISymbol>make_row</a>, <span class=IParent>ChangeHandler</span></div></div><div class=SRResult id=SR_make_undrow_undchecksum><div class=IEntry><a href="../files/modules/TableChecksum-pm.html#TableChecksum.make_row_checksum" target=_parent class=ISymbol>make_row_checksum</a>, <span class=IParent>TableChecksum</span></div></div><div class=SRResult id=SR_make_undrr_unditer><div class=IEntry><a href="../files/modules/LogSplitter-pm.html#LogSplitter.make_rr_iter" target=_parent class=ISymbol>make_rr_iter</a>, <span class=IParent>LogSplitter</span></div></div><div class=SRResult id=SR_make_undtable_undddl><div class=IEntry><a href="../files/modules/CompareResults-pm.html#CompareResults.make_table_ddl" target=_parent class=ISymbol>make_table_ddl</a>, <span class=IParent>CompareResults</span></div></div><div class=SRResult id=SR_make_undts><div class=IEntry><a href="../files/modules/SimpleTCPDumpParser-pm.html#SimpleTCPDumpParser.make_ts" target=_parent class=ISymbol>make_ts</a>, <span class=IParent>SimpleTCPDumpParser</span></div></div><div class=SRResult id=SR_make_undUPDATE><div class=IEntry><a href="../files/modules/ChangeHandler-pm.html#ChangeHandler.make_UPDATE" target=_parent class=ISymbol>make_UPDATE</a>, <span class=IParent>ChangeHandler</span></div></div><div class=SRResult id=SR_make_undwhere_undclause><div class=IEntry><a href="../files/modules/ChangeHandler-pm.html#ChangeHandler.make_where_clause" target=_parent class=ISymbol>make_where_clause</a>, <span class=IParent>ChangeHandler</span></div></div><div class=SRResult id=SR_make_undxor_undslices><div class=IEntry><a href="../files/modules/TableChecksum-pm.html#TableChecksum.make_xor_slices" target=_parent class=ISymbol>make_xor_slices</a>, <span class=IParent>TableChecksum</span></div></div><div class=SRResult id=SR_MasterSlave><div class=IEntry><a href="../files/modules/MasterSlave-pm.html#MasterSlave" target=_parent class=ISymbol>MasterSlave</a></div></div><div class=SRResult id=SR_max_undwidth><div class=IEntry><span class=ISymbolPrefix>$</span><a href="../files/tools/pt-duplicate-key-checker-pm.html#pt_duplicate_key_checker.$max_width" target=_parent class=ISymbol>max_width</a>, <span class=IParent>pt_duplicate_key_checker</span></div></div><div class=SRResult id=SR_md5sum_undfmt><div class=IEntry><span class=ISymbolPrefix>$</span><a href="../files/tools/pt-table-checksum-pm.html#pt_table_checksum.$md5sum_fmt" target=_parent class=ISymbol>md5sum_fmt</a>, <span class=IParent>pt_table_checksum</span></div></div><div class=SRResult id=SR_MemcachedEvent><div class=IEntry><a href="../files/modules/MemcachedEvent-pm.html#MemcachedEvent" target=_parent class=ISymbol>MemcachedEvent</a></div></div><div class=SRResult id=SR_MemcachedProtocolParser><div class=IEntry><a href="../files/modules/MemcachedProtocolParser-pm.html#MemcachedProtocolParser" target=_parent class=ISymbol>MemcachedProtocolParser</a></div></div><div class=SRResult id=SR_merge><div class=IEntry><a href="../files/modules/EventAggregator-pm.html#EventAggregator.merge" target=_parent class=ISymbol>merge</a>, <span class=IParent>EventAggregator</span></div></div><div class=SRResult id=SR_metrics><div class=IEntry><a href="../files/modules/EventAggregator-pm.html#EventAggregator.metrics" target=_parent class=ISymbol>metrics</a>, <span class=IParent>EventAggregator</span></div></div><div class=SRResult id=SR_micro_undt><div class=IEntry><a href="../files/modules/Transformers-pm.html#Transformers.micro_t" target=_parent class=ISymbol>micro_t</a>, <span class=IParent>Transformers</span></div></div><div class=SRResult id=SR_missing><div class=IEntry><a href="../files/modules/MySQLConfigComparer-pm.html#MySQLConfigComparer.missing" target=_parent class=ISymbol>missing</a>, <span class=IParent>MySQLConfigComparer</span></div></div><div class=SRResult id=SR_mlc_undre><div class=IEntry><span class=ISymbolPrefix>$</span><a href="../files/modules/QueryRewriter-pm.html#QueryRewriter.$mlc_re" target=_parent class=ISymbol>mlc_re</a>, <span class=IParent>QueryRewriter</span></div></div><div class=SRResult id=SR_MockSth><div class=IEntry><a href="../files/modules/MockSth-pm.html#MockSth" target=_parent class=ISymbol>MockSth</a></div></div><div class=SRResult id=SR_MockSync><div class=IEntry><a href="../files/modules/MockSync-pm.html#MockSync" target=_parent class=ISymbol>MockSync</a></div></div><div class=SRResult id=SR_MockSyncStream><div class=IEntry><a href="../files/modules/MockSyncStream-pm.html#MockSyncStream" target=_parent class=ISymbol>MockSyncStream</a></div></div><div class=SRResult id=SR_msg><div class=IEntry><a href="javascript:searchResults.Toggle('SR_msg')" class=ISymbol>msg</a><div class=ISubIndex><a href="../files/tools/pt-kill-pm.html#pt_kill.msg" target=_parent class=IParent>pt_kill</a><a href="../files/tools/pt-online-schema-change-pm.html#pt_online_schema_change.msg" target=_parent class=IParent>pt_online_schema_change</a></div></div></div><div class=SRResult id=SR_mysql_undts><div class=IEntry><span class=ISymbolPrefix>$</span><a href="../files/modules/Transformers-pm.html#Transformers.$mysql_ts" target=_parent class=ISymbol>mysql_ts</a>, <span class=IParent>Transformers</span></div></div><div class=SRResult id=SR_mysql_undversion><div class=IEntry><a href="../files/modules/MySQLConfig-pm.html#MySQLConfig.mysql_version" target=_parent class=ISymbol>mysql_version</a>, <span class=IParent>MySQLConfig</span></div></div><div class=SRResult id=SR_MySQLConfig><div class=IEntry><a href="../files/modules/MySQLConfig-pm.html#MySQLConfig" target=_parent class=ISymbol>MySQLConfig</a></div></div><div class=SRResult id=SR_MySQLConfigComparer><div class=IEntry><a href="../files/modules/MySQLConfigComparer-pm.html#MySQLConfigComparer" target=_parent class=ISymbol>MySQLConfigComparer</a></div></div><div class=SRResult id=SR_MySQLDump><div class=IEntry><a href="../files/modules/MySQLDump-pm.html#MySQLDump" target=_parent class=ISymbol>MySQLDump</a></div></div><div class=SRResult id=SR_MySQLProtocolParser><div class=IEntry><a href="../files/modules/MySQLProtocolParser-pm.html#MySQLProtocolParser" target=_parent class=ISymbol>MySQLProtocolParser</a></div></div></table><div class=SRStatus id=Searching>Searching...</div><div class=SRStatus id=NoMatches>No Matches</div><script type="text/javascript"><!--
15
 
document.getElementById("Loading").style.display="none";
16
 
document.getElementById("NoMatches").style.display="none";
17
 
var searchResults = new SearchResults("searchResults", "HTML");
18
 
searchResults.Search();
19
 
--></script></div><script language=JavaScript><!--
20
 
if (browserType) {if (browserVer) {document.write("</div>"); }document.write("</div>");}// --></script></body></html>
 
 
b'\\ No newline at end of file'