~ubuntu-branches/ubuntu/precise/code-saturne/precise

« back to all changes in this revision

Viewing changes to src/base/haltyp.f90

  • Committer: Package Import Robot
  • Author(s): Sylvestre Ledru
  • Date: 2011-11-24 00:00:08 UTC
  • mfrom: (6.1.9 sid)
  • Revision ID: package-import@ubuntu.com-20111124000008-2vo99e38267942q5
Tags: 2.1.0-3
Install a missing file

Show diffs side-by-side

added added

removed removed

Lines of Context:
1
1
!-------------------------------------------------------------------------------
2
2
 
3
 
!     This file is part of the Code_Saturne Kernel, element of the
4
 
!     Code_Saturne CFD tool.
5
 
 
6
 
!     Copyright (C) 1998-2009 EDF S.A., France
7
 
 
8
 
!     contact: saturne-support@edf.fr
9
 
 
10
 
!     The Code_Saturne Kernel is free software; you can redistribute it
11
 
!     and/or modify it under the terms of the GNU General Public License
12
 
!     as published by the Free Software Foundation; either version 2 of
13
 
!     the License, or (at your option) any later version.
14
 
 
15
 
!     The Code_Saturne Kernel is distributed in the hope that it will be
16
 
!     useful, but WITHOUT ANY WARRANTY; without even the implied warranty
17
 
!     of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
18
 
!     GNU General Public License for more details.
19
 
 
20
 
!     You should have received a copy of the GNU General Public License
21
 
!     along with the Code_Saturne Kernel; if not, write to the
22
 
!     Free Software Foundation, Inc.,
23
 
!     51 Franklin St, Fifth Floor,
24
 
!     Boston, MA  02110-1301  USA
 
3
! This file is part of Code_Saturne, a general-purpose CFD tool.
 
4
!
 
5
! Copyright (C) 1998-2011 EDF S.A.
 
6
!
 
7
! This program is free software; you can redistribute it and/or modify it under
 
8
! the terms of the GNU General Public License as published by the Free Software
 
9
! Foundation; either version 2 of the License, or (at your option) any later
 
10
! version.
 
11
!
 
12
! This program is distributed in the hope that it will be useful, but WITHOUT
 
13
! ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS
 
14
! FOR A PARTICULAR PURPOSE.  See the GNU General Public License for more
 
15
! details.
 
16
!
 
17
! You should have received a copy of the GNU General Public License along with
 
18
! this program; if not, write to the Free Software Foundation, Inc., 51 Franklin
 
19
! Street, Fifth Floor, Boston, MA 02110-1301, USA.
25
20
 
26
21
!-------------------------------------------------------------------------------
27
22
 
49
44
!            --- tableau de travail
50
45
!===============================================================================
51
46
 
 
47
!===============================================================================
 
48
! Module files
 
49
!===============================================================================
 
50
 
 
51
use dimens
 
52
use paramx
 
53
use cstphy
 
54
use optcal
 
55
use ppppar
 
56
use ppthch
 
57
use ppincl
 
58
 
 
59
!===============================================================================
 
60
 
52
61
implicit none
53
62
 
54
 
!===============================================================================
55
 
! Common blocks
56
 
!===============================================================================
57
 
 
58
 
include "dimens.h"
59
 
include "paramx.h"
60
 
include "cstphy.h"
61
 
include "optcal.h"
62
 
include "ppppar.h"
63
 
include "ppthch.h"
64
 
include "ppincl.h"
65
 
 
66
63
! Arguments
67
64
 
68
65
integer          ii, ivoset
69
66
 
70
 
 
71
67
!===============================================================================
72
68
 
73
69
ivoset = 0
74
70
 
75
71
if (imrgra.eq.2 .or. imrgra.eq.3) ivoset = 1
76
72
 
77
 
do ii = 1, nphsmx
78
 
  if (iturb(ii).eq.41) ivoset = 1
79
 
enddo
 
73
if (iturb.eq.41) ivoset = 1
80
74
 
81
75
if (ippmod(iaeros).ge.0) ivoset = 1
82
76