~ubuntu-branches/ubuntu/raring/verilator/raring-proposed

« back to all changes in this revision

Viewing changes to test_regress/t/t_var_vec_sel.pl

  • Committer: Package Import Robot
  • Author(s): أحمد المحمودي (Ahmed El-Mahmoudy)
  • Date: 2013-01-13 11:25:29 UTC
  • mfrom: (1.2.16)
  • Revision ID: package-import@ubuntu.com-20130113112529-1jn3n8rbf8glvu2c
Tags: 3.844-1
* New upstream release.
* debian/copyright: Updated copyright years.
* Refresh patch.

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
#!/usr/bin/perl
 
2
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
 
3
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
 
4
#
 
5
# Copyright 2003 by Wilson Snyder. This program is free software; you can
 
6
# redistribute it and/or modify it under the terms of either the GNU
 
7
# Lesser General Public License Version 3 or the Perl Artistic License
 
8
# Version 2.0.
 
9
 
 
10
compile (
 
11
    v_flags2 => ["--lint-only"],
 
12
    fails=>0,
 
13
    verilator_make_gcc => 0,
 
14
    make_top_shell => 0,
 
15
    make_main => 0,
 
16
    );
 
17
 
 
18
ok(1);
 
19
1;