~ubuntu-branches/ubuntu/trusty/geda-utils/trusty

« back to all changes in this revision

Viewing changes to examples/tragesym/AT90S8535_TQFP.src

  • Committer: Bazaar Package Importer
  • Author(s): Hamish Moffatt
  • Date: 2005-03-15 23:04:53 UTC
  • mfrom: (1.2.1 upstream) (2.1.1 warty)
  • Revision ID: james.westby@ubuntu.com-20050315230453-x3x6qtw9qv17zbnf
Tags: 20050313-1
New upstream release

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
# This is the template file for creating symbols with tragesym.py
 
2
# every line starting with '#' is a comment line.
 
3
 
 
4
[options]
 
5
# rotate_labels rotates the pintext of top and bottom pins
 
6
# wordswap swaps labels if the pin is on the right side an looks like this:
 
7
# "PB1 (CLK)"
 
8
wordswap=yes
 
9
rotate_labels=no
 
10
sort_labels=yes
 
11
generate_pinseq=yes
 
12
sym_width=2000
 
13
pinwidthvertikal=400
 
14
pinwidthhorizontal=500
 
15
 
 
16
[geda_attr]
 
17
# name will be printed in the top of the symbol
 
18
# if you have a device with slots, you'll have to use slot= and slotdef=
 
19
# use comment= if there are special information you want to add
 
20
version=20040710 1
 
21
name=AT90S8535
 
22
device=AT90S8535_TQFP
 
23
refdes=U?
 
24
footprint=TQFP44
 
25
description=8-bit RISC micro controller (Atmel)
 
26
documentation=http://url_to_the_datasheet.com/datasheet.pdf
 
27
author=Werner Hoch <werner.ho(AT)gmx.de>
 
28
numslots=0
 
29
#slot=1
 
30
#slotdef=1:
 
31
#slotdef=2:
 
32
#slotdef=3:
 
33
#slotdef=4:
 
34
comment=this is comment1
 
35
comment=this one is comment2
 
36
comment=and comment3
 
37
 
 
38
[pins]
 
39
# tabseparated list of pin descriptions
 
40
# pinnr is the physical number of the pin
 
41
# seq is the pinseq= attribute, leave it blank if it doesn't matter
 
42
# type can be (in, out, io, oc, oe, pas, tp, tri, clk, pwr)
 
43
# style can be (line,dot,clk,dotclk,none). none if only want to add a net
 
44
# posit. can be (l,r,t,b) or empty for nets
 
45
# net specifies the name of the Vcc or GND name
 
46
# label represents the pinlabel. 
 
47
#       negation lines can be added with _Q_ 
 
48
#       if you want to add a "_" or "\" use "\_" and "\\" as escape sequences
 
49
#-----------------------------------------------------
 
50
#pinnr  seq     type    style   posit.  net     label   
 
51
#-----------------------------------------------------
 
52
1               io      line    l               PB5 (MOSI)
 
53
2               io      line    l               PB6 (MISO)
 
54
3               io      line    l               PB7 (SCK)
 
55
4               in      dot     b               _Reset_
 
56
5               pwr     none            Vcc
 
57
6               pwr     none            GND
 
58
7               out     line    b               XTAL2
 
59
8               in      line    b               XTAL1
 
60
9               io      line    l               PD0 (RXD)
 
61
10              io      line    l               PD1 (TXD)
 
62
11              io      line    l               PD2 (INT0)
 
63
12              io      line    l               PD3 (INT1)
 
64
13              io      line    l               PD4 (OC1B)
 
65
14              io      line    l               PD5 (OC1A)
 
66
15              io      line    l               PD6 (ICP)
 
67
16              io      line    l               PD7 (OC2)
 
68
17              pwr     none            Vcc
 
69
18              pwr     none            GND
 
70
19              io      line    r               PC0
 
71
20              io      line    r               PC1
 
72
21              io      line    r               PC2
 
73
22              io      line    r               PC3
 
74
23              io      line    r               PC4
 
75
24              io      line    r               PC5
 
76
25              io      line    r               PC6 (TOSC1)
 
77
26              io      line    r               PC7 (TOSC2)
 
78
27              pwr     none            AVcc
 
79
28              pwr     none            AGND
 
80
29              in      line    b               AREF
 
81
30              io      line    r               PA7 (ADC7)
 
82
31              io      line    r               PA6 (ADC6)
 
83
32              io      line    r               PA5 (ADC5)
 
84
33              io      line    r               PA4 (ADC4)
 
85
34              io      line    r               PA3 (ADC3)
 
86
35              io      line    r               PA2 (ADC2)
 
87
36              io      line    r               PA1 (ADC1)
 
88
37              io      line    r               PA0 (ADC0)
 
89
38              pwr     none            Vcc
 
90
39              pwr     none            GND
 
91
40              io      line    l               PB0 (T0)
 
92
41              io      line    l               PB1 (T1)
 
93
42              io      line    l               PB2 (AIN0)
 
94
43              io      line    l               PB3 (AIN1)
 
95
44              io      line    l               PB4 (_SS_)