~ubuntu-branches/ubuntu/wily/geany/wily-proposed

« back to all changes in this revision

Viewing changes to data/filetypes.cobol

  • Committer: Package Import Robot
  • Author(s): Evgeni Golov
  • Date: 2011-11-17 12:59:57 UTC
  • mfrom: (1.1.16)
  • Revision ID: package-import@ubuntu.com-20111117125957-nv48s8qkd2clcmr1
Tags: 0.21-1
* Imported Upstream version 0.21
* Refresh filetypes patch against 0.21
* Fix Description (thanks lintian!)
* Standards-Version: 3.9.2
* dpatch → 3.0 (quilt)
* Switch to new dh-style debian/rules
* Add a patch to search for plugins in both, multiarch and
  non-multiarch folders
* Add misc:Pre-Depends to Pre-Depends for multiarch
* Generate geany:Provides with GEANY_ABI_VERSION and GEANY_API_VERSION
* Split arch independent parts into geany-common
* Set maintainer to pkg-geany
* Set Vcs-* headers for pkg-geany
* Drop README.source, we're using standard 3.0 quilt now

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
# For complete documentation of this file, please see Geany's main documentation
 
2
[styling]
 
3
# foreground;background;bold;italic
 
4
default=default
 
5
comment=comment
 
6
commentline=comment
 
7
commentdoc=commentdoc
 
8
number=number
 
9
word=keyword
 
10
word2=keyword2
 
11
string=string
 
12
character=string
 
13
operator=operator
 
14
identifier=default
 
15
quotedidentifier=default
 
16
 
 
17
[keywords]
 
18
# all items must be in one line
 
19
keywords=accept access add address advancing after alphabet alphabetic alphabetic-lower alphabetic-upper alphanumeric alphanumeric-edited als alternate and any are area areas ascending assign at author before binary blank block bottom by cancel cbll cd cf ch character characters class clock-units close cobol code code-set collating column comma common communications computational compute configuration content continue control converting corr corresponding count currency data date date-compiled date-written day day-of-week de debug-contents debug-item debug-line debug-name debug-sub-1 debug-sub-2 debug-sub-3 debugging decimal-point delaratives delete delimited delimiter depending descending destination detail disable display divide division down duplicates dynamic egi else emi enable end-add end-compute end-delete end-divide end-evaluate end-if end-multiply end-of-page end-perform end-read end-receive end-return end-rewrite end-search end-start end-string end-subtract end-unstring end-write environment equal error esi evaluate every exception extend external false fd file file-control filler final first footing for from generate giving global greater group heading high-value high-values i-o i-o-control identification in index indexed indicate initial initialize initiate input input-output inspect installation into is just justified key label last leading left length lock memory merge message mode modules move multiple multiply native negative next no not number numeric numeric-edited object-computer occurs of off omitted on open optional or order organization other output overflow packed-decimal padding page page-counter perform pf ph pic picture plus position positive printing procedure procedures procedd program program-id purge queue quotes random rd read receive record records redefines reel reference references relative release remainder removal replace replacing report reporting reports rerun reserve reset return returning reversed rewind rewrite rf rh right rounded same sd search section security segment segment-limited select send sentence separate sequence sequential set sign size sort sort-merge source source-computer special-names standard standard-1 standard-2 start status string sub-queue-1 sub-queue-2 sub-queue-3 subtract sum suppress symbolic sync synchronized table tallying tape terminal terminate test text than then through thru time times to top trailing true type unit unstring until up upon usage use using value values varying when with words working-storage write
 
20
 
 
21
[settings]
 
22
# default extension used when saving files
 
23
extension=cob
 
24
 
 
25
# the following characters are these which a "word" can contains, see documentation
 
26
#wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
 
27
 
 
28
# single comments, like # in this file
 
29
comment_single=*>
 
30
# multiline comments
 
31
#comment_open=
 
32
#comment_close=
 
33
 
 
34
# set to false if a comment character/string should start at column 0 of a line, true uses any
 
35
# indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
 
36
        #command_example();
 
37
# setting to false would generate this
 
38
#       command_example();
 
39
# This setting works only for single line comments
 
40
comment_use_indent=false
 
41
 
 
42
# context action command (please see Geany's main documentation for details)
 
43
context_action_cmd=
 
44
 
 
45
[indentation]
 
46
#width=4
 
47
# 0 is spaces, 1 is tabs, 2 is tab & spaces
 
48
#type=1