~ubuntu-branches/ubuntu/wily/verilator/wily

« back to all changes in this revision

Viewing changes to test_regress/t/t_struct_anon.v

  • Committer: Package Import Robot
  • Author(s): أحمد المحمودي (Ahmed El-Mahmoudy)
  • Date: 2014-09-28 12:18:43 UTC
  • mfrom: (1.2.29)
  • Revision ID: package-import@ubuntu.com-20140928121843-x25dh09ebwng7afl
Tags: 3.864-1
* New upstream release.
* debian/upstream/metadata: Add upstream metadata information
* debian/control: Bump Standards-Version to 3.9.6

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
// DESCRIPTION: Verilator: Verilog Test module
 
2
//
 
3
// This file ONLY is placed into the Public Domain, for any use,
 
4
// without warranty, 2013 by Wilson Snyder.
 
5
 
 
6
// Anonymous
 
7
struct packed {
 
8
    logic [31:0] val1;
 
9
    logic [31:0] val2;
 
10
} struct1;
 
11
 
 
12
struct packed {
 
13
    logic [31:0] val3;
 
14
    logic [31:0] val4;
 
15
} struct2;
 
16
 
 
17
module t (
 
18
    output [63:0]       s1,
 
19
    output [63:0]       s2
 
20
);
 
21
   initial struct1 = 64'h123456789_abcdef0;
 
22
   always_comb s1 = struct1;
 
23
   initial struct2 = 64'h123456789_abcdef0;
 
24
   always_comb s2 = struct2;
 
25
endmodule
 
26