~ubuntu-branches/ubuntu/maverick/wxwidgets2.8/maverick-proposed

« back to all changes in this revision

Viewing changes to wxPython/wx/tools/Editra/scripts/i18n/messages.pot

  • Committer: Bazaar Package Importer
  • Author(s): Devid Filoni
  • Date: 2008-06-30 22:02:17 UTC
  • mfrom: (1.1.5 upstream)
  • Revision ID: james.westby@ubuntu.com-20080630220217-vag3tkfp91t0453d
Tags: 2.8.8.0-0ubuntu1
* New upstream version, based on the upstream tarball
  wxPython-src-2.8.8.0.tar.bz2, remove upstream debian dir (LP: #244355).
* Add debian/watch file, LP: #242164.
* Edit get-orig-source target to provide a .orig.tar.gz with the same md5 for
  each .orig.tar.gz generated.
* debian/rules: remove get-orig-source from .PHONY target.
* debian/control.in: add python-wxtools in python-wxgtk=V=U Suggests field.
* Do not apply fix_from_upstream_svn_r52465 patch, not needed.
* Regenerate octave_oct, tcl_tk_tcl patches for the new version.
* Fix spelling-error-in-description lintian warning.
* Fix depends-on-obsolete-package lintian error.
* Fix executable-not-elf-or-script lintian warnings.
* Fix script-not-executable lintian warnings.
* Fix missing-dependency-on-libc lintian error.
* Fix dbg-package-missing-depends lintian warnings.
* Fix package-contains-empty-directory lintian warnings.
* Fix manpage-has-errors-from-man lintian warning.
* Fix image-file-in-usr-lib lintian warnings:
  - add editra_pixmaps patch
  - add xrced_bitmaps patch
* Fix unused-override lintian info.
* Fix malformed-override lintian errors.
* Fix extra-license-file lintian warnings.
* Install upstream wx.pth instead of generated file links (LP: #211553).
* Add editra.png, pyshell.png (encoded using uuencode) icons, LP: #236876:
  - debian/rules: use uudecode to decode .png icons.
* Add a new pyshell.xpm icon.
* Fix doc-base-file-references-missing-file lintian error.
* Fix doc-base-unknown-section lintian warning.
* Fix ruby-script-but-no-ruby-dep lintian errors.
* Fix wish-script-but-no-wish-dep lintian errors.
* Fix missing-dep-for-interpreter errors.
* Bump Standards-Version to 3.8.0.

Show diffs side-by-side

added added

removed removed

Lines of Context:
8
8
msgstr ""
9
9
"Project-Id-Version: PACKAGE VERSION\n"
10
10
"Report-Msgid-Bugs-To: \n"
11
 
"POT-Creation-Date: 2007-11-26 06:31-0600\n"
 
11
"POT-Creation-Date: 2008-06-12 21:55-0500\n"
12
12
"PO-Revision-Date: YEAR-MO-DA HO:MI+ZONE\n"
13
13
"Last-Translator: FULL NAME <EMAIL@ADDRESS>\n"
14
14
"Language-Team: LANGUAGE <LL@li.org>\n"
16
16
"Content-Type: text/plain; charset=CHARSET\n"
17
17
"Content-Transfer-Encoding: 8bit\n"
18
18
 
19
 
#: ../../src/ed_main.py:434
 
19
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:267
 
20
#, python-format
 
21
msgid "%s Source File"
 
22
msgstr ""
 
23
 
 
24
#: ../../src/ed_main.py:476
20
25
#, python-format
21
26
msgid ""
22
27
"%s could not be found\n"
23
28
"Perhaps its been moved or deleted"
24
29
msgstr ""
25
30
 
26
 
#: ../../src/ed_pages.py:591
 
31
#: ../../src/ed_pages.py:687
27
32
#, python-format
28
33
msgid ""
29
34
"%s has been deleted since its last save point.\n"
31
36
"Would you like to save it again?"
32
37
msgstr ""
33
38
 
34
 
#: ../../src/ed_pages.py:611
 
39
#: ../../src/ed_pages.py:707
35
40
#, python-format
36
41
msgid ""
37
42
"%s has been modified by another application.\n"
39
44
"Would you like to Reload it?"
40
45
msgstr ""
41
46
 
42
 
#: ../../src/ed_menu.py:483
 
47
#: ../../src/ed_menu.py:806
43
48
msgid "&About"
44
49
msgstr ""
45
50
 
46
 
#: ../../src/dev_tool.py:287
 
51
#: ../../src/ed_menu.py:531
 
52
msgid "&Copy"
 
53
msgstr ""
 
54
 
 
55
#: ../../src/ed_menu.py:595
 
56
msgid "&Edit"
 
57
msgstr ""
 
58
 
 
59
#: ../../src/ed_menu.py:512
 
60
msgid "&File"
 
61
msgstr ""
 
62
 
 
63
#: ../../src/ed_menu.py:580
 
64
msgid "&Find"
 
65
msgstr ""
 
66
 
 
67
#: ../../src/ed_menu.py:669
 
68
msgid "&Font"
 
69
msgstr ""
 
70
 
 
71
#: ../../src/ed_menu.py:639
 
72
msgid "&Goto Line"
 
73
msgstr ""
 
74
 
 
75
#: ../../src/ed_main.py:134 ../../src/ed_menu.py:824
 
76
msgid "&Help"
 
77
msgstr ""
 
78
 
 
79
#: ../../src/ed_menu.py:452
 
80
msgid "&New Tab"
 
81
msgstr ""
 
82
 
 
83
#: ../../src/ed_menu.py:459
 
84
msgid "&Open"
 
85
msgstr ""
 
86
 
 
87
#: ../../src/ed_menu.py:534
 
88
msgid "&Paste"
 
89
msgstr ""
 
90
 
 
91
#: ../../src/ed_menu.py:503
 
92
msgid "&Print"
 
93
msgstr ""
 
94
 
 
95
#: ../../src/ed_menu.py:586
 
96
msgid "&Quick Find"
 
97
msgstr ""
 
98
 
 
99
#: ../../src/ed_menu.py:477
 
100
msgid "&Save"
 
101
msgstr ""
 
102
 
 
103
#: ../../src/ed_menu.py:765
 
104
msgid "&Settings"
 
105
msgstr ""
 
106
 
 
107
#: ../../src/ed_menu.py:655
 
108
msgid "&Toolbar"
 
109
msgstr ""
 
110
 
 
111
#: ../../src/ed_menu.py:797
 
112
msgid "&Tools"
 
113
msgstr ""
 
114
 
 
115
#: ../../src/ed_menu.py:521
 
116
msgid "&Undo"
 
117
msgstr ""
 
118
 
 
119
#: ../../src/ed_menu.py:660
 
120
msgid "&View"
 
121
msgstr ""
 
122
 
 
123
#: ../../plugins/Launch/launch/cfgdlg.py:278
 
124
msgid "**Alias**"
 
125
msgstr ""
 
126
 
 
127
#: ../../plugins/Launch/launch/cfgdlg.py:278
 
128
msgid "**New Value**"
 
129
msgstr ""
 
130
 
 
131
#: ../../plugins/Launch/launch/launch.py:402 ../../src/dev_tool.py:387
47
132
msgid "Abort"
48
133
msgstr ""
49
134
 
50
 
#: ../../src/ed_menu.py:484
 
135
#: ../../src/ed_menu.py:808
51
136
msgid "About"
52
137
msgstr ""
53
138
 
54
 
#: ../../src/ed_menu.py:319
55
 
msgid "Add Bookmark"
56
 
msgstr ""
57
 
 
58
 
#: ../../src/ed_menu.py:320
59
 
msgid "Add a bookmark to the current line"
60
 
msgstr ""
61
 
 
62
 
#: ../../src/ed_menu.py:302
 
139
#: ../../plugins/Launch/launch/cfgdlg.py:214
 
140
msgid "Add a new executable"
 
141
msgstr ""
 
142
 
 
143
#: ../../src/ed_menu.py:545
63
144
msgid "Add a new line after the current line"
64
145
msgstr ""
65
146
 
66
 
#: ../../src/ed_menu.py:305
 
147
#: ../../src/ed_menu.py:549
67
148
msgid "Add a new line before the current line"
68
149
msgstr ""
69
150
 
70
 
#: ../../src/ed_menu.py:327
 
151
#: ../../src/ed_menu.py:578
71
152
msgid "Add and remove bookmarks"
72
153
msgstr ""
73
154
 
74
 
#: ../../src/ed_search.py:175
 
155
#: ../../src/prefdlg.py:196
 
156
msgid "Advanced"
 
157
msgstr ""
 
158
 
 
159
#: ../../plugins/Launch/launch/cfgdlg.py:209
 
160
msgid "Alias"
 
161
msgstr ""
 
162
 
 
163
#: ../../src/ed_log.py:161 ../../src/ed_log.py:234
 
164
msgid "All"
 
165
msgstr ""
 
166
 
 
167
#: ../../src/ed_search.py:178
75
168
msgid "All Done"
76
169
msgstr ""
77
170
 
78
 
#: ../../src/prefdlg.py:532
 
171
#: ../../src/Editra.py:366
 
172
#, python-format
 
173
msgid ""
 
174
"An updated version of Editra is available\n"
 
175
"Would you like to download Editra %s now?"
 
176
msgstr ""
 
177
 
 
178
#: ../../src/prefdlg.py:558
79
179
msgid "AntiAliasing"
80
180
msgstr ""
81
181
 
82
 
#: ../../src/prefdlg.py:209
 
182
#: ../../src/prefdlg.py:190
83
183
msgid "Appearance"
84
184
msgstr ""
85
185
 
86
 
#: ../../src/style_editor.py:652
 
186
#: ../../src/prefdlg.py:1514
 
187
msgid "Apply"
 
188
msgstr ""
 
189
 
 
190
#: ../../src/style_editor.py:647
87
191
msgid "Attributes"
88
192
msgstr ""
89
193
 
90
 
#: ../../src/plugdlg.py:947
 
194
#: ../../src/plugdlg.py:872
91
195
msgid "Author"
92
196
msgstr ""
93
197
 
94
 
#: ../../src/ed_menu.py:436 ../../src/prefdlg.py:677
 
198
#: ../../src/ed_menu.py:747 ../../src/prefdlg.py:723
95
199
msgid "Auto-Completion"
96
200
msgstr ""
97
201
 
98
 
#: ../../src/ed_menu.py:438 ../../src/prefdlg.py:679
 
202
#: ../../src/ed_menu.py:750 ../../src/prefdlg.py:725
99
203
msgid "Auto-Indent"
100
204
msgstr ""
101
205
 
102
 
#: ../../src/perspective.py:80
 
206
#: ../../plugins/Launch/launch/cfgdlg.py:383
 
207
msgid "Automatically clear buffer between runs"
 
208
msgstr ""
 
209
 
 
210
#: ../../src/perspective.py:74
103
211
msgid "Automatically save/use window state from last session"
104
212
msgstr ""
105
213
 
106
 
#: ../../src/style_editor.py:641
 
214
#: ../../plugins/Launch/launch/cfgdlg.py:402 ../../src/style_editor.py:636
107
215
msgid "Background"
108
216
msgstr ""
109
217
 
110
 
#: ../../src/style_editor.py:199
 
218
#: ../../src/prefdlg.py:545
 
219
msgid "Backspace Unindents"
 
220
msgstr ""
 
221
 
 
222
#: ../../src/style_editor.py:194
111
223
msgid "Base new theme on existing one"
112
224
msgstr ""
113
225
 
114
 
#: ../../src/ed_menu.py:326
 
226
#: ../../src/prefdlg.py:1488
 
227
msgid "Binding"
 
228
msgstr ""
 
229
 
 
230
#: ../../src/ed_menu.py:577
115
231
msgid "Bookmarks"
116
232
msgstr ""
117
233
 
118
 
#: ../../src/ed_menu.py:441 ../../src/prefdlg.py:656
 
234
#: ../../src/ed_menu.py:754 ../../src/prefdlg.py:703
119
235
msgid "Bracket Highlighting"
120
236
msgstr ""
121
237
 
122
 
#: ../../src/style_editor.py:144
 
238
#: ../../src/style_editor.py:139
123
239
msgid "Cancel"
124
240
msgstr ""
125
241
 
126
 
#: ../../src/updater.py:594
 
242
#: ../../src/updater.py:639
127
243
msgid "Canceled"
128
244
msgstr ""
129
245
 
130
 
#: ../../src/ed_menu.py:386
 
246
#: ../../src/ed_menu.py:671
131
247
msgid "Change Font Settings"
132
248
msgstr ""
133
249
 
134
 
#: ../../src/perspective.py:133
 
250
#: ../../src/perspective.py:129
135
251
#, python-format
136
252
msgid "Change view to \"%s\""
137
253
msgstr ""
138
254
 
139
 
#: ../../src/style_editor.py:321
 
255
#: ../../src/style_editor.py:731
140
256
#, python-format
141
257
msgid "Changed color scheme to %s"
142
258
msgstr ""
143
259
 
144
 
#: ../../src/plugdlg.py:313
 
260
#: ../../src/prefdlg.py:320
 
261
msgid "Changes made in this dialog are saved in your current profile. Some Items such as Language require the program to be restarted before taking effect."
 
262
msgstr ""
 
263
 
 
264
#: ../../src/plugdlg.py:213
145
265
msgid "Changes will take affect once the program has been restarted"
146
266
msgstr ""
147
267
 
148
 
#: ../../src/prefdlg.py:1040
 
268
#: ../../src/prefdlg.py:1279
149
269
msgid "Check"
150
270
msgstr ""
151
271
 
152
 
#: ../../src/prefdlg.py:389
 
272
#: ../../src/prefdlg.py:364
 
273
msgid "Check for updates on startup"
 
274
msgstr ""
 
275
 
 
276
#: ../../src/prefdlg.py:384
153
277
msgid "Check if on disk file has been modified by others"
154
278
msgstr ""
155
279
 
156
 
#: ../../src/ed_main.py:326
 
280
#: ../../src/ed_main.py:374
157
281
msgid "Choose a File"
158
282
msgstr ""
159
283
 
160
 
#: ../../src/ed_main.py:509
 
284
#: ../../src/ed_main.py:558
161
285
msgid "Choose a Save Location"
162
286
msgstr ""
163
287
 
164
 
#: ../../src/plugdlg.py:736
 
288
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:48
 
289
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:163
 
290
msgid "Class Definitions"
 
291
msgstr ""
 
292
 
 
293
#: ../../plugins/Launch/launch/launch.py:161 ../../src/ed_log.py:131
 
294
msgid "Clear"
 
295
msgstr ""
 
296
 
 
297
#: ../../src/plugdlg.py:659
165
298
msgid "Click on Install to install the plugins in the list"
166
299
msgstr ""
167
300
 
168
 
#: ../../src/ed_menu.py:249
 
301
#: ../../plugins/Launch/launch/cfgdlg.py:208
 
302
#: ../../plugins/Launch/launch/cfgdlg.py:212
 
303
msgid "Click on an item to edit"
 
304
msgstr ""
 
305
 
 
306
#: ../../src/ed_menu.py:473
169
307
msgid "Close All Pages"
170
308
msgstr ""
171
309
 
172
 
#: ../../src/ed_menu.py:245
 
310
#: ../../src/ed_menu.py:468
173
311
msgid "Close Current Page"
174
312
msgstr ""
175
313
 
176
 
#: ../../src/ed_menu.py:244
 
314
#: ../../src/ed_menu.py:466
177
315
msgid "Close Page"
178
316
msgstr ""
179
317
 
180
 
#: ../../src/ed_menu.py:247
 
318
#: ../../src/ed_menu.py:470
181
319
msgid "Close Window"
182
320
msgstr ""
183
321
 
184
 
#: ../../src/ed_menu.py:250
 
322
#: ../../src/ed_menu.py:475
185
323
msgid "Close all open tabs"
186
324
msgstr ""
187
325
 
188
 
#: ../../src/ed_menu.py:248
 
326
#: ../../src/ed_menu.py:472
189
327
msgid "Close the current window"
190
328
msgstr ""
191
329
 
192
 
#: ../../src/prefdlg.py:480
 
330
#: ../../src/prefdlg.py:486
193
331
msgid "Code"
194
332
msgstr ""
195
333
 
196
 
#: ../../src/ed_menu.py:443 ../../src/prefdlg.py:658
 
334
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:74
 
335
msgid "Code Browser"
 
336
msgstr ""
 
337
 
 
338
#: ../../src/ed_menu.py:757 ../../src/prefdlg.py:705
197
339
msgid "Code Folding"
198
340
msgstr ""
199
341
 
200
 
#: ../../src/style_editor.py:625
 
342
#: ../../src/style_editor.py:620
201
343
msgid "Color"
202
344
msgstr ""
203
345
 
204
 
#: ../../src/ed_menu.py:446
 
346
#: ../../src/ed_menu.py:762
205
347
msgid "Color Highlight Code Syntax"
206
348
msgstr ""
207
349
 
208
 
#: ../../src/prefdlg.py:779
 
350
#: ../../src/prefdlg.py:836
209
351
msgid "Color Scheme"
210
352
msgstr ""
211
353
 
212
 
#: ../../src/prefdlg.py:690
213
 
msgid "Column"
214
 
msgstr ""
215
 
 
216
 
#: ../../src/ed_cmdbar.py:213
 
354
#: ../../src/ed_cmdbar.py:181
217
355
msgid "Command"
218
356
msgstr ""
219
357
 
220
 
#: ../../src/ed_menu.py:317
 
358
#: ../../src/ed_menu.py:569
221
359
msgid "Commands that affect an entire line"
222
360
msgstr ""
223
361
 
224
 
#: ../../src/ed_menu.py:388
 
362
#: ../../src/ed_menu.py:673
225
363
msgid "Comment Lines"
226
364
msgstr ""
227
365
 
228
 
#: ../../src/ed_menu.py:389
 
366
#: ../../src/ed_menu.py:675
229
367
msgid "Comment the selected lines"
230
368
msgstr ""
231
369
 
232
 
#: ../../src/plugdlg.py:276
 
370
#: ../../src/prefdlg.py:1094
 
371
msgid "Configuration"
 
372
msgstr ""
 
373
 
 
374
#: ../../src/plugdlg.py:176
233
375
msgid "Configure"
234
376
msgstr ""
235
377
 
236
 
#: ../../src/ed_menu.py:268
 
378
#: ../../src/ed_menu.py:499
237
379
msgid "Configure Printer"
238
380
msgstr ""
239
381
 
240
 
#: ../../src/ed_menu.py:401
 
382
#: ../../src/ed_menu.py:694
241
383
msgid "Convert selected text to all lowercase letters"
242
384
msgstr ""
243
385
 
244
 
#: ../../src/ed_menu.py:399
 
386
#: ../../src/ed_menu.py:691
245
387
msgid "Convert selected text to all uppercase letters"
246
388
msgstr ""
247
389
 
248
 
#: ../../src/ed_menu.py:408
 
390
#: ../../src/ed_menu.py:710
249
391
msgid "Convert spaces to tabs in selected/all text"
250
392
msgstr ""
251
393
 
252
 
#: ../../src/ed_menu.py:410
 
394
#: ../../src/ed_menu.py:713
253
395
msgid "Convert tabs to spaces in selected/all text"
254
396
msgstr ""
255
397
 
256
 
#: ../../src/ed_menu.py:292 ../../src/ed_toolbar.py:84
 
398
#: ../../src/ed_stc.py:753 ../../src/ed_toolbar.py:82
257
399
msgid "Copy"
258
400
msgstr ""
259
401
 
260
 
#: ../../src/ed_menu.py:310
 
402
#: ../../src/ed_menu.py:556
261
403
msgid "Copy Current Line"
262
404
msgstr ""
263
405
 
264
 
#: ../../src/ed_menu.py:309
 
406
#: ../../src/ed_menu.py:554
265
407
msgid "Copy Line"
266
408
msgstr ""
267
409
 
268
 
#: ../../src/ed_menu.py:293 ../../src/ed_toolbar.py:85
 
410
#: ../../src/ed_menu.py:533 ../../src/ed_toolbar.py:83
269
411
msgid "Copy Selected Text to Clipboard"
270
412
msgstr ""
271
413
 
272
 
#: ../../src/ed_menu.py:290 ../../src/ed_toolbar.py:82
 
414
#: ../../src/ed_main.py:1184
 
415
msgid "Copyright"
 
416
msgstr ""
 
417
 
 
418
#: ../../plugins/filebrowser/filebrowser/browser.py:451
 
419
#: ../../plugins/filebrowser/filebrowser/browser.py:539
 
420
#, python-format
 
421
msgid "Create Archive of \"%s\""
 
422
msgstr ""
 
423
 
 
424
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:174
 
425
msgid "Created"
 
426
msgstr ""
 
427
 
 
428
#: ../../src/ed_menu.py:528
 
429
msgid "Cu&t"
 
430
msgstr ""
 
431
 
 
432
#: ../../src/ed_stc.py:752 ../../src/ed_toolbar.py:80
273
433
msgid "Cut"
274
434
msgstr ""
275
435
 
276
 
#: ../../src/ed_menu.py:308
 
436
#: ../../src/ed_menu.py:553
277
437
msgid "Cut Current Line"
278
438
msgstr ""
279
439
 
280
 
#: ../../src/ed_menu.py:307
 
440
#: ../../src/ed_menu.py:551
281
441
msgid "Cut Line"
282
442
msgstr ""
283
443
 
284
 
#: ../../src/ed_menu.py:291 ../../src/ed_toolbar.py:83
 
444
#: ../../src/ed_menu.py:530 ../../src/ed_toolbar.py:81
285
445
msgid "Cut Selected Text from File"
286
446
msgstr ""
287
447
 
288
 
#: ../../src/prefdlg.py:524
 
448
#: ../../plugins/Launch/launch/cfgdlg.py:199
 
449
msgid "Default"
 
450
msgstr ""
 
451
 
 
452
#: ../../src/prefdlg.py:549
289
453
msgid "Default EOL Mode"
290
454
msgstr ""
291
455
 
292
 
#: ../../src/prefdlg.py:879
 
456
#: ../../src/prefdlg.py:696
 
457
msgid "Default Lexer"
 
458
msgstr ""
 
459
 
 
460
#: ../../src/prefdlg.py:948
293
461
msgid "Default Perspective"
294
462
msgstr ""
295
463
 
296
 
#: ../../src/perspective.py:234
 
464
#: ../../src/prefdlg.py:694
 
465
msgid "Default highlighing for new documents"
 
466
msgstr ""
 
467
 
 
468
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:48
 
469
msgid "Defines"
 
470
msgstr ""
 
471
 
 
472
#: ../../src/prefdlg.py:1457
 
473
msgid "Delete"
 
474
msgstr ""
 
475
 
 
476
#: ../../src/perspective.py:230
297
477
msgid "Delete Perspective"
298
478
msgstr ""
299
479
 
300
 
#: ../../src/perspective.py:77
 
480
#: ../../src/perspective.py:71
301
481
msgid "Delete Saved View"
302
482
msgstr ""
303
483
 
304
 
#: ../../src/plugdlg.py:946
 
484
#: ../../src/plugdlg.py:871
305
485
msgid "Description"
306
486
msgstr ""
307
487
 
308
 
#: ../../src/prefdlg.py:363
 
488
#: ../../src/prefdlg.py:355
309
489
msgid "Disable Error Reporter"
310
490
msgstr ""
311
491
 
312
 
#: ../../src/plugdlg.py:124
313
 
msgid "Do you wish to exit"
314
 
msgstr ""
315
 
 
316
 
#: ../../src/ed_pages.py:358
 
492
#: ../../src/prefdlg.py:994
 
493
msgid "Display Font"
 
494
msgstr ""
 
495
 
 
496
#: ../../src/plugdlg.py:119
 
497
msgid "Do you wish to exit?"
 
498
msgstr ""
 
499
 
 
500
#: ../../src/ed_pages.py:412
317
501
#, python-format
318
502
msgid ""
319
503
"Do you wish to open all %d files in this directory?\n"
321
505
"Warning opening many files at once may cause the editor to temporarly  freeze."
322
506
msgstr ""
323
507
 
324
 
#: ../../src/prefdlg.py:211
 
508
#: ../../src/prefdlg.py:192
325
509
msgid "Document"
326
510
msgstr ""
327
511
 
328
 
#: ../../src/plugdlg.py:278 ../../src/plugdlg.py:453 ../../src/prefdlg.py:1041
 
512
#: ../../src/plugdlg.py:178 ../../src/plugdlg.py:353 ../../src/prefdlg.py:1274
329
513
msgid "Download"
330
514
msgstr ""
331
515
 
332
 
#: ../../src/plugdlg.py:483 ../../src/updater.py:622 ../../src/updater.py:628
 
516
#: ../../src/plugdlg.py:388 ../../src/updater.py:667 ../../src/updater.py:673
333
517
msgid "Downloaded"
334
518
msgstr ""
335
519
 
336
 
#: ../../src/plugdlg.py:592 ../../src/updater.py:553
 
520
#: ../../src/plugdlg.py:499 ../../src/updater.py:598
337
521
msgid "Downloading"
338
522
msgstr ""
339
523
 
340
 
#: ../../src/updater.py:516
 
524
#: ../../src/updater.py:561
341
525
#, python-format
342
526
msgid "Downloading To: %s"
343
527
msgstr ""
344
528
 
345
 
#: ../../src/prefdlg.py:1079
 
529
#: ../../src/Editra.py:372 ../../src/prefdlg.py:1315
346
530
msgid "Downloading Update"
347
531
msgstr ""
348
532
 
349
 
#: ../../src/updater.py:514
 
533
#: ../../src/updater.py:559
350
534
#, python-format
351
535
msgid "Downloading: %s"
352
536
msgstr ""
353
537
 
354
 
#: ../../src/plugdlg.py:297
 
538
#: ../../src/plugdlg.py:197
355
539
msgid "Downloads are incomplete"
356
540
msgstr ""
357
541
 
358
 
#: ../../src/ed_menu.py:425
 
542
#: ../../plugins/filebrowser/filebrowser/browser.py:450
 
543
msgid "Duplicate"
 
544
msgstr ""
 
545
 
 
546
#: ../../src/ed_menu.py:558
 
547
msgid "Duplicate Line"
 
548
msgstr ""
 
549
 
 
550
#: ../../src/ed_menu.py:560
 
551
msgid "Duplicate the current line"
 
552
msgstr ""
 
553
 
 
554
#: ../../src/ed_menu.py:507
 
555
msgid "E&xit"
 
556
msgstr ""
 
557
 
 
558
#: ../../src/ed_menu.py:734
359
559
msgid "EOL Mode"
360
560
msgstr ""
361
561
 
362
 
#: ../../src/ed_main.py:491 ../../src/ed_main.py:531
 
562
#: ../../src/ed_main.py:539
 
563
#, python-format
 
564
msgid "ERROR: %s"
 
565
msgstr ""
 
566
 
 
567
#: ../../src/ed_main.py:574
363
568
#, python-format
364
569
msgid "ERROR: Failed to save %s"
365
570
msgstr ""
366
571
 
367
 
#: ../../src/prefdlg.py:660
 
572
#: ../../src/prefdlg.py:707
368
573
msgid "Edge Guide"
369
574
msgstr ""
370
575
 
371
 
#: ../../src/ed_menu.py:338
 
576
#: ../../plugins/filebrowser/filebrowser/browser.py:440
372
577
msgid "Edit"
373
578
msgstr ""
374
579
 
375
 
#: ../../src/ed_menu.py:337
 
580
#: ../../src/ed_menu.py:592
376
581
msgid "Edit Preferences / Settings"
377
582
msgstr ""
378
583
 
379
 
#: ../../src/ed_menu.py:465
 
584
#: ../../src/ed_menu.py:786
380
585
msgid "Edit the way syntax is highlighted"
381
586
msgstr ""
382
587
 
383
 
#: ../../src/ed_menu.py:365
 
588
#: ../../src/ed_menu.py:636
384
589
msgid "Editor"
385
590
msgstr ""
386
591
 
387
 
#: ../../src/ed_menu.py:457
 
592
#: ../../src/ed_menu.py:774
388
593
msgid "Editor Command"
389
594
msgstr ""
390
595
 
391
 
#: ../../src/prefdlg.py:349
 
596
#: ../../src/prefdlg.py:338
392
597
msgid "Editor Mode"
393
598
msgstr ""
394
599
 
395
 
#: ../../src/style_editor.py:289
 
600
#: ../../src/ed_log.py:73
 
601
msgid "Editra Log"
 
602
msgstr ""
 
603
 
 
604
#: ../../src/style_editor.py:284
396
605
msgid "Editra Style Sheet"
397
606
msgstr ""
398
607
 
399
 
#: ../../src/ed_pages.py:230
 
608
#: ../../src/ed_mdlg.py:41
400
609
#, python-format
401
 
msgid "Editra could not properly open %s\n"
402
 
msgstr ""
403
 
 
404
 
#: ../../src/prefdlg.py:681
 
610
msgid ""
 
611
"Editra could not open %(filename)s\n"
 
612
"\n"
 
613
"Error:\n"
 
614
"%(errormsg)s"
 
615
msgstr ""
 
616
 
 
617
#: ../../src/ed_main.py:1174
 
618
msgid "Editra is a programmers text editor."
 
619
msgstr ""
 
620
 
 
621
#: ../../src/ed_menu.py:818
 
622
msgid "Editra translations project"
 
623
msgstr ""
 
624
 
 
625
#: ../../plugins/codebrowser/codebrowser/__init__.py:49
 
626
msgid "Editra | CodeBrowser"
 
627
msgstr ""
 
628
 
 
629
#: ../../src/prefdlg.py:727
405
630
msgid "Enable Vi Emulation"
406
631
msgstr ""
407
632
 
408
 
#: ../../src/ed_menu.py:426
 
633
#: ../../src/ed_pages.py:279
 
634
msgid "Encoding Error"
 
635
msgstr ""
 
636
 
 
637
#: ../../src/ed_menu.py:735
409
638
msgid "End of line character formatting"
410
639
msgstr ""
411
640
 
412
 
#: ../../src/style_editor.py:724
413
 
msgid "Enter a hex color value"
 
641
#: ../../src/prefdlg.py:1652
 
642
msgid "Enter the name of the new key profile"
414
643
msgstr ""
415
644
 
416
 
#: ../../src/ed_pages.py:626 ../../src/plugdlg.py:835
 
645
#: ../../src/ed_pages.py:722 ../../src/plugdlg.py:758
417
646
msgid "Error"
418
647
msgstr ""
419
648
 
420
 
#: ../../src/ed_pages.py:232
 
649
#: ../../src/ed_mdlg.py:43
421
650
msgid "Error Opening File"
422
651
msgstr ""
423
652
 
424
 
#: ../../src/dev_tool.py:284
 
653
#: ../../plugins/Launch/launch/cfgdlg.py:412
 
654
msgid "Error Text"
 
655
msgstr ""
 
656
 
 
657
#: ../../src/dev_tool.py:384
425
658
msgid "Error Traceback:"
426
659
msgstr ""
427
660
 
428
 
#: ../../src/dev_tool.py:280
 
661
#: ../../src/dev_tool.py:380
429
662
msgid ""
430
663
"Error: Oh no something bad happend\n"
431
664
"Help improve Editra by clicking on Report Error\n"
432
665
"to send the Error Traceback shown below."
433
666
msgstr ""
434
667
 
435
 
#: ../../src/ed_menu.py:274
436
 
msgid "Exit"
437
 
msgstr ""
438
 
 
439
 
#: ../../src/ed_menu.py:275
 
668
#: ../../src/ed_main.py:1115
 
669
#, python-format
 
670
msgid "Error: Unable to open %s"
 
671
msgstr ""
 
672
 
 
673
#: ../../plugins/Launch/launch/cfgdlg.py:210
 
674
msgid "Executable Commands"
 
675
msgstr ""
 
676
 
 
677
#: ../../plugins/Launch/launch/cfgdlg.py:184
 
678
msgid "Executables"
 
679
msgstr ""
 
680
 
 
681
#: ../../plugins/Launch/launch/launch.py:504
 
682
msgid "Exit Code"
 
683
msgstr ""
 
684
 
 
685
#: ../../src/ed_menu.py:509
440
686
msgid "Exit the Program"
441
687
msgstr ""
442
688
 
443
 
#: ../../src/style_editor.py:145
 
689
#: ../../src/style_editor.py:140
444
690
msgid "Export"
445
691
msgstr ""
446
692
 
447
 
#: ../../src/style_editor.py:287
 
693
#: ../../src/style_editor.py:282
448
694
msgid "Export Style Sheet"
449
695
msgstr ""
450
696
 
451
 
#: ../../src/prefdlg.py:1177
 
697
#: ../../src/prefdlg.py:1789
452
698
msgid "Extensions (space separated, no dots)"
453
699
msgstr ""
454
700
 
455
 
#: ../../src/updater.py:635
 
701
#: ../../src/ed_menu.py:738
 
702
msgid "F&ormat"
 
703
msgstr ""
 
704
 
 
705
#: ../../src/updater.py:680
456
706
msgid "Failed"
457
707
msgstr ""
458
708
 
459
 
#: ../../src/plugdlg.py:837
 
709
#: ../../src/plugdlg.py:760
460
710
#, python-format
461
711
msgid "Failed to install %d plugins"
462
712
msgstr ""
463
713
 
464
 
#: ../../src/ed_pages.py:623
 
714
#: ../../src/ed_pages.py:720
465
715
#, python-format
466
716
msgid ""
467
 
"Failed to reload %s:\n"
468
 
"Error: %s"
 
717
"Failed to reload %(filename)s:\n"
 
718
"Error: %(errmsg)s"
469
719
msgstr ""
470
720
 
471
 
#: ../../src/ed_main.py:493 ../../src/ed_main.py:526
 
721
#: ../../src/ed_mdlg.py:60
472
722
#, python-format
473
723
msgid ""
474
 
"Failed to save file: %s\n"
 
724
"Failed to save file: %(filename)s\n"
475
725
"\n"
476
726
"Error:\n"
477
 
"%d"
 
727
"%(errormsg)s"
478
728
msgstr ""
479
729
 
480
 
#: ../../src/ed_menu.py:489
 
730
#: ../../src/ed_menu.py:819
481
731
msgid "Feedback"
482
732
msgstr ""
483
733
 
484
 
#: ../../src/ed_menu.py:276
485
 
msgid "File"
486
 
msgstr ""
487
 
 
488
 
#: ../../plugins/filebrowser/filebrowser/browser.py:205
 
734
#: ../../plugins/filebrowser/filebrowser/browser.py:247
489
735
msgid "File Browser"
490
736
msgstr ""
491
737
 
492
 
#: ../../src/prefdlg.py:376
 
738
#: ../../src/prefdlg.py:370
493
739
msgid "File History Length"
494
740
msgstr ""
495
741
 
496
 
#: ../../src/ed_main.py:436
 
742
#: ../../src/ed_main.py:478
497
743
msgid "File Not Found"
498
744
msgstr ""
499
745
 
500
 
#: ../../src/prefdlg.py:375
 
746
#: ../../src/prefdlg.py:408
501
747
msgid "File Settings"
502
748
msgstr ""
503
749
 
504
 
#: ../../src/ed_pages.py:118
 
750
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:100
 
751
msgid "File Stat Failed"
 
752
msgstr ""
 
753
 
 
754
#: ../../plugins/Launch/launch/cfgdlg.py:179
 
755
msgid "File Type"
 
756
msgstr ""
 
757
 
 
758
#: ../../src/ed_pages.py:122
505
759
msgid ""
506
760
"File is already open in an existing page.\n"
507
761
"Do you wish to open it again?"
508
762
msgstr ""
509
763
 
510
 
#: ../../src/prefdlg.py:774
 
764
#: ../../src/prefdlg.py:830
511
765
msgid "Filetype Associations"
512
766
msgstr ""
513
767
 
514
 
#: ../../src/ed_cmdbar.py:243 ../../src/ed_menu.py:329
515
 
#: ../../src/ed_search.py:227 ../../src/ed_toolbar.py:89
 
768
#: ../../src/ed_log.py:123
 
769
msgid "Filter"
 
770
msgstr ""
 
771
 
 
772
#: ../../src/ed_cmdbar.py:211 ../../src/ed_search.py:240
 
773
#: ../../src/ed_toolbar.py:87
516
774
msgid "Find"
517
775
msgstr ""
518
776
 
519
 
#: ../../src/ed_menu.py:330 ../../src/ed_toolbar.py:89
 
777
#: ../../src/ed_menu.py:582 ../../src/ed_toolbar.py:87
520
778
msgid "Find Text"
521
779
msgstr ""
522
780
 
523
 
#: ../../src/ed_menu.py:332 ../../src/ed_toolbar.py:91
 
781
#: ../../src/ed_menu.py:585 ../../src/ed_toolbar.py:89
524
782
msgid "Find and Replace Text"
525
783
msgstr ""
526
784
 
527
 
#: ../../src/ed_menu.py:331 ../../src/ed_search.py:223
528
 
#: ../../src/ed_toolbar.py:90
 
785
#: ../../src/ed_menu.py:583
 
786
msgid "Find/R&eplace"
 
787
msgstr ""
 
788
 
 
789
#: ../../src/ed_search.py:236 ../../src/ed_toolbar.py:88
529
790
msgid "Find/Replace"
530
791
msgstr ""
531
792
 
532
 
#: ../../src/updater.py:632
 
793
#: ../../src/updater.py:677
533
794
msgid "Finished"
534
795
msgstr ""
535
796
 
536
 
#: ../../src/plugdlg.py:823
 
797
#: ../../src/plugdlg.py:746
537
798
msgid "Finished Installing Plugins"
538
799
msgstr ""
539
800
 
540
 
#: ../../src/plugdlg.py:486
 
801
#: ../../src/plugdlg.py:391
541
802
msgid "Finshed downloading plugins"
542
803
msgstr ""
543
804
 
544
 
#: ../../src/ed_menu.py:386 ../../src/style_editor.py:673
 
805
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:255
 
806
msgid "Folder"
 
807
msgstr ""
 
808
 
 
809
#: ../../src/style_editor.py:668
545
810
msgid "Font"
546
811
msgstr ""
547
812
 
548
 
#: ../../src/style_editor.py:668
 
813
#: ../../src/style_editor.py:663
549
814
msgid "Font Settings"
550
815
msgstr ""
551
816
 
552
 
#: ../../src/style_editor.py:629
 
817
#: ../../plugins/Launch/launch/cfgdlg.py:399 ../../src/style_editor.py:624
553
818
msgid "Foreground"
554
819
msgstr ""
555
820
 
556
 
#: ../../src/ed_menu.py:427 ../../src/prefdlg.py:565
 
821
#: ../../src/prefdlg.py:587
557
822
msgid "Format"
558
823
msgstr ""
559
824
 
560
 
#: ../../src/ed_menu.py:417 ../../src/ed_menu.py:420 ../../src/ed_menu.py:423
 
825
#: ../../src/ed_menu.py:724 ../../src/ed_menu.py:728 ../../src/ed_menu.py:732
561
826
#, python-format
562
827
msgid "Format all EOL characters to %s Mode"
563
828
msgstr ""
564
829
 
565
 
#: ../../src/prefdlg.py:207 ../../src/prefdlg.py:479
 
830
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:48
 
831
msgid "Function Definitions"
 
832
msgstr ""
 
833
 
 
834
#: ../../plugins/Launch/launch/cfgdlg.py:130 ../../src/prefdlg.py:188
 
835
#: ../../src/prefdlg.py:485 ../../src/prefdlg.py:733
566
836
msgid "General"
567
837
msgstr ""
568
838
 
569
 
#: ../../src/generator.py:277 ../../src/generator.py:644
570
 
#: ../../src/generator.py:836
 
839
#: ../../src/generator.py:271 ../../src/generator.py:638
 
840
#: ../../src/generator.py:830
571
841
#, python-format
572
842
msgid "Generate %s"
573
843
msgstr ""
574
844
 
575
 
#: ../../src/generator.py:112
 
845
#: ../../src/generator.py:107
576
846
msgid "Generate Code and Documents"
577
847
msgstr ""
578
848
 
579
 
#: ../../src/generator.py:278 ../../src/generator.py:837
 
849
#: ../../src/generator.py:272 ../../src/generator.py:831
580
850
#, python-format
581
851
msgid "Generate a %s version of the current document"
582
852
msgstr ""
583
853
 
584
 
#: ../../src/generator.py:645
 
854
#: ../../src/generator.py:639
585
855
#, python-format
586
856
msgid "Generate an %s version of the current document"
587
857
msgstr ""
588
858
 
589
 
#: ../../src/generator.py:111
 
859
#: ../../src/generator.py:106
590
860
msgid "Generator"
591
861
msgstr ""
592
862
 
593
 
#: ../../src/plugdlg.py:822
 
863
#: ../../plugins/filebrowser/filebrowser/browser.py:444
 
864
msgid "Get Info"
 
865
msgstr ""
 
866
 
 
867
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:49
 
868
msgid "Global Variables"
 
869
msgstr ""
 
870
 
 
871
#: ../../src/plugdlg.py:745
594
872
msgid "Go to configuration page?"
595
873
msgstr ""
596
874
 
597
 
#: ../../src/ed_cmdbar.py:190 ../../src/ed_menu.py:368
 
875
#: ../../src/ed_cmdbar.py:158
598
876
msgid "Goto Line"
599
877
msgstr ""
600
878
 
601
 
#: ../../src/ed_menu.py:369
 
879
#: ../../src/ed_menu.py:641
602
880
msgid "Goto Line Number"
603
881
msgstr ""
604
882
 
605
 
#: ../../src/ed_menu.py:458
 
883
#: ../../src/ed_menu.py:642
 
884
msgid "Goto Matching Brace"
 
885
msgstr ""
 
886
 
 
887
#: ../../src/ed_menu.py:776
606
888
msgid "Goto command buffer"
607
889
msgstr ""
608
890
 
609
 
#: ../../src/ed_main.py:139 ../../src/ed_menu.py:491
610
 
msgid "Help"
 
891
#: ../../src/prefdlg.py:711
 
892
msgid "Guide Column"
611
893
msgstr ""
612
894
 
613
 
#: ../../src/ed_menu.py:442
 
895
#: ../../src/ed_menu.py:756
614
896
msgid "Highlight Brackets/Braces"
615
897
msgstr ""
616
898
 
617
 
#: ../../src/prefdlg.py:867
 
899
#: ../../src/ed_menu.py:615 ../../src/prefdlg.py:716
 
900
msgid "Highlight Caret Line"
 
901
msgstr ""
 
902
 
 
903
#: ../../src/ed_menu.py:617
 
904
msgid "Highlight the background of the current line"
 
905
msgstr ""
 
906
 
 
907
#: ../../src/ed_main.py:1176
 
908
msgid "Homepage"
 
909
msgstr ""
 
910
 
 
911
#: ../../src/prefdlg.py:929
618
912
msgid "Icon Theme"
619
913
msgstr ""
620
914
 
621
 
#: ../../src/prefdlg.py:919
 
915
#: ../../src/prefdlg.py:976
622
916
msgid "Icons"
623
917
msgstr ""
624
918
 
625
 
#: ../../src/ed_menu.py:393
 
919
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:49
 
920
msgid "Identities"
 
921
msgstr ""
 
922
 
 
923
#: ../../src/ed_menu.py:682
626
924
msgid "Indent Lines"
627
925
msgstr ""
628
926
 
629
 
#: ../../src/ed_menu.py:394
 
927
#: ../../src/prefdlg.py:534
 
928
msgid "Indent Width"
 
929
msgstr ""
 
930
 
 
931
#: ../../src/ed_menu.py:684
630
932
msgid "Indent the selected lines"
631
933
msgstr ""
632
934
 
633
 
#: ../../src/ed_menu.py:355 ../../src/prefdlg.py:673
 
935
#: ../../src/ed_menu.py:620 ../../src/prefdlg.py:719
634
936
msgid "Indentation Guides"
635
937
msgstr ""
636
938
 
637
 
#: ../../src/prefdlg.py:692
 
939
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:50
 
940
msgid "Info"
 
941
msgstr ""
 
942
 
 
943
#: ../../plugins/Launch/launch/cfgdlg.py:419
 
944
msgid "Info Text"
 
945
msgstr ""
 
946
 
 
947
#: ../../src/prefdlg.py:742
638
948
msgid "Input Helpers"
639
949
msgstr ""
640
950
 
641
 
#: ../../src/plugdlg.py:280 ../../src/plugdlg.py:745
 
951
#: ../../src/ed_menu.py:699
 
952
msgid "Insert spaces instead of tab characters with tab key"
 
953
msgstr ""
 
954
 
 
955
#: ../../src/plugdlg.py:180 ../../src/plugdlg.py:668
642
956
msgid "Install"
643
957
msgstr ""
644
958
 
645
 
#: ../../src/plugdlg.py:752
 
959
#: ../../src/plugdlg.py:675
646
960
msgid ""
647
961
"Install the plugins for all users\n"
648
962
" **requires administrative privileges**"
649
963
msgstr ""
650
964
 
651
 
#: ../../src/plugdlg.py:749
 
965
#: ../../src/plugdlg.py:672
652
966
msgid "Install the plugins only for the current user"
653
967
msgstr ""
654
968
 
655
 
#: ../../src/plugdlg.py:839
 
969
#: ../../src/plugdlg.py:762
656
970
msgid "Installation Error"
657
971
msgstr ""
658
972
 
659
 
#: ../../src/prefdlg.py:1026
 
973
#: ../../src/prefdlg.py:1255
660
974
msgid "Installed Version"
661
975
msgstr ""
662
976
 
663
 
#: ../../src/ed_pages.py:344
 
977
#: ../../src/ed_pages.py:396
664
978
#, python-format
665
979
msgid "Invalid file: %s"
666
980
msgstr ""
667
981
 
668
 
#: ../../src/prefdlg.py:1136
669
 
msgid "Item"
670
 
msgstr ""
671
 
 
672
 
#: ../../src/ed_menu.py:312
 
982
#: ../../src/ed_menu.py:562
673
983
msgid "Join Lines"
674
984
msgstr ""
675
985
 
676
 
#: ../../src/ed_menu.py:313
 
986
#: ../../src/ed_menu.py:564
677
987
msgid "Join the Selected Lines"
678
988
msgstr ""
679
989
 
680
 
#: ../../plugins/filebrowser/filebrowser/browser.py:73
 
990
#: ../../plugins/filebrowser/filebrowser/browser.py:97
681
991
msgid "Jump to Saved Path"
682
992
msgstr ""
683
993
 
684
 
#: ../../src/ed_menu.py:459
 
994
#: ../../src/prefdlg.py:1484
 
995
msgid "Key"
 
996
msgstr ""
 
997
 
 
998
#: ../../src/prefdlg.py:1453
 
999
msgid "Key Profile"
 
1000
msgstr ""
 
1001
 
 
1002
#: ../../src/prefdlg.py:1368
 
1003
msgid "Keybindings"
 
1004
msgstr ""
 
1005
 
 
1006
#: ../../src/ed_menu.py:777
685
1007
msgid "Keyword Helper"
686
1008
msgstr ""
687
1009
 
688
 
#: ../../src/prefdlg.py:396
 
1010
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:168
 
1011
msgid "Kind"
 
1012
msgstr ""
 
1013
 
 
1014
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:49
 
1015
msgid "Labels"
 
1016
msgstr ""
 
1017
 
 
1018
#: ../../src/prefdlg.py:390
689
1019
msgid "Language"
690
1020
msgstr ""
691
1021
 
692
 
#: ../../src/prefdlg.py:1032
 
1022
#: ../../src/prefdlg.py:1261
693
1023
msgid "Latest Version"
694
1024
msgstr ""
695
1025
 
696
 
#: ../../src/prefdlg.py:923
 
1026
#: ../../plugins/Launch/launch/cfgdlg.py:110
 
1027
msgid "Launch Configuration"
 
1028
msgstr ""
 
1029
 
 
1030
#: ../../src/prefdlg.py:980
697
1031
msgid "Layout"
698
1032
msgstr ""
699
1033
 
700
 
#: ../../src/prefdlg.py:1175
 
1034
#: ../../src/prefdlg.py:1787
701
1035
msgid "Lexer"
702
1036
msgstr ""
703
1037
 
704
 
#: ../../src/ed_main.py:131
 
1038
#: ../../src/ed_main.py:126
705
1039
msgid "Lexers"
706
1040
msgstr ""
707
1041
 
708
 
#: ../../src/ed_menu.py:316
 
1042
#: ../../src/ed_main.py:1178
 
1043
msgid "License: wxWindows (see COPYING.txt for full license)"
 
1044
msgstr ""
 
1045
 
 
1046
#: ../../src/ed_menu.py:568
709
1047
msgid "Line Edit"
710
1048
msgstr ""
711
1049
 
712
 
#: ../../src/ed_stc.py:641
 
1050
#: ../../src/ed_stc.py:771
713
1051
#, python-format
714
1052
msgid "Line: %d  Column: %d"
715
1053
msgstr ""
716
1054
 
717
 
#: ../../src/prefdlg.py:366
 
1055
#: ../../src/prefdlg.py:357
718
1056
msgid "Load Last Session"
719
1057
msgstr ""
720
1058
 
721
 
#: ../../src/ed_menu.py:262
 
1059
#: ../../src/ed_menu.py:491
722
1060
msgid "Load Profile"
723
1061
msgstr ""
724
1062
 
725
 
#: ../../src/ed_main.py:571 ../../src/ed_menu.py:263
 
1063
#: ../../src/ed_main.py:613 ../../src/ed_menu.py:493
726
1064
msgid "Load a Custom Profile"
727
1065
msgstr ""
728
1066
 
729
 
#: ../../src/ed_menu.py:265
 
1067
#: ../../src/ed_menu.py:495
730
1068
msgid "Load and save custom Profiles"
731
1069
msgstr ""
732
1070
 
733
 
#: ../../src/prefdlg.py:368
 
1071
#: ../../src/prefdlg.py:359
734
1072
msgid "Load files from last session on startup"
735
1073
msgstr ""
736
1074
 
737
 
#: ../../src/ed_main.py:578
 
1075
#: ../../src/ed_main.py:620
738
1076
#, python-format
739
1077
msgid "Loaded Profile: %s"
740
1078
msgstr ""
741
1079
 
742
 
#: ../../src/prefdlg.py:394
 
1080
#: ../../src/prefdlg.py:414
743
1081
msgid "Locale Settings"
744
1082
msgstr ""
745
1083
 
746
 
#: ../../src/ed_menu.py:400
 
1084
#: ../../src/ed_menu.py:692
747
1085
msgid "Lowercase"
748
1086
msgstr ""
749
1087
 
750
 
#: ../../src/ed_menu.py:416 ../../src/prefdlg.py:526
 
1088
#: ../../src/ed_menu.py:722 ../../src/prefdlg.py:552
751
1089
msgid "Macintosh (\\r)"
752
1090
msgstr ""
753
1091
 
754
 
#: ../../src/ed_menu.py:463
 
1092
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:50
 
1093
msgid "Macro Definitions"
 
1094
msgstr ""
 
1095
 
 
1096
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:49
 
1097
msgid "Macros"
 
1098
msgstr ""
 
1099
 
 
1100
#: ../../src/prefdlg.py:966
 
1101
msgid "Main display font for various UI components"
 
1102
msgstr ""
 
1103
 
 
1104
#: ../../src/ed_menu.py:783
755
1105
msgid "Manage, Download, and Install plugins"
756
1106
msgstr ""
757
1107
 
758
 
#: ../../src/ed_main.py:133
 
1108
#: ../../src/ed_main.py:128
759
1109
msgid "Manually Set a Lexer/Syntax"
760
1110
msgstr ""
761
1111
 
762
 
#: ../../src/ed_cmdbar.py:255
 
1112
#: ../../src/ed_cmdbar.py:221
763
1113
msgid "Match Case"
764
1114
msgstr ""
765
1115
 
766
 
#: ../../src/prefdlg.py:930
 
1116
#: ../../src/prefdlg.py:1466
 
1117
msgid "Menu"
 
1118
msgstr ""
 
1119
 
 
1120
#: ../../plugins/Launch/launch/cfgdlg.py:131
767
1121
msgid "Misc"
768
1122
msgstr ""
769
1123
 
770
 
#: ../../src/ed_toolbar.py:73 ../../src/style_editor.py:201
 
1124
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:148
 
1125
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:176
 
1126
msgid "Modified"
 
1127
msgstr ""
 
1128
 
 
1129
#: ../../src/prefdlg.py:1476
 
1130
msgid "Modifier 1"
 
1131
msgstr ""
 
1132
 
 
1133
#: ../../src/prefdlg.py:1480
 
1134
msgid "Modifier 2"
 
1135
msgstr ""
 
1136
 
 
1137
#: ../../src/ed_menu.py:644
 
1138
msgid "Move caret matching brace"
 
1139
msgstr ""
 
1140
 
 
1141
#: ../../plugins/filebrowser/filebrowser/browser.py:62
 
1142
msgid "Move to Recycle Bin"
 
1143
msgstr ""
 
1144
 
 
1145
#: ../../plugins/filebrowser/filebrowser/browser.py:64
 
1146
msgid "Move to Trash"
 
1147
msgstr ""
 
1148
 
 
1149
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:50
 
1150
msgid "Namespaces"
 
1151
msgstr ""
 
1152
 
 
1153
#: ../../src/prefdlg.py:194
 
1154
msgid "Network"
 
1155
msgstr ""
 
1156
 
 
1157
#: ../../src/ed_toolbar.py:71 ../../src/prefdlg.py:1456
 
1158
#: ../../src/style_editor.py:196
771
1159
msgid "New"
772
1160
msgstr ""
773
1161
 
774
 
#: ../../src/ed_menu.py:301
 
1162
#: ../../src/ed_menu.py:455
 
1163
msgid "New &Window"
 
1164
msgstr ""
 
1165
 
 
1166
#: ../../plugins/filebrowser/filebrowser/browser.py:448
 
1167
msgid "New File"
 
1168
msgstr ""
 
1169
 
 
1170
#: ../../plugins/filebrowser/filebrowser/browser.py:447
 
1171
msgid "New Folder"
 
1172
msgstr ""
 
1173
 
 
1174
#: ../../src/ed_menu.py:543
775
1175
msgid "New Line After"
776
1176
msgstr ""
777
1177
 
778
 
#: ../../src/ed_menu.py:304
 
1178
#: ../../src/ed_menu.py:547
779
1179
msgid "New Line Before"
780
1180
msgstr ""
781
1181
 
782
 
#: ../../src/ed_menu.py:234
783
 
msgid "New Tab"
784
 
msgstr ""
785
 
 
786
 
#: ../../src/ed_menu.py:236
787
 
msgid "New Window"
788
 
msgstr ""
789
 
 
790
 
#: ../../src/ed_cmdbar.py:248
 
1182
#: ../../src/prefdlg.py:1651
 
1183
msgid "New Profile"
 
1184
msgstr ""
 
1185
 
 
1186
#: ../../src/ed_cmdbar.py:214
791
1187
msgid "Next"
792
1188
msgstr ""
793
1189
 
794
 
#: ../../src/ed_menu.py:370
 
1190
#: ../../src/ed_menu.py:645
795
1191
msgid "Next Bookmark"
796
1192
msgstr ""
797
1193
 
798
 
#: ../../src/plugdlg.py:413
 
1194
#: ../../src/plugdlg.py:313
799
1195
msgid "No Description Available"
800
1196
msgstr ""
801
1197
 
802
 
#: ../../src/ed_pages.py:353
 
1198
#: ../../src/ed_pages.py:406
803
1199
msgid "No Valid Files to Open"
804
1200
msgstr ""
805
1201
 
806
 
#: ../../src/style_editor.py:142
 
1202
#: ../../src/prefdlg.py:1550
 
1203
msgid "None"
 
1204
msgstr ""
 
1205
 
 
1206
#: ../../src/style_editor.py:137
807
1207
msgid "Ok"
808
1208
msgstr ""
809
1209
 
810
 
#: ../../src/ed_menu.py:487
 
1210
#: ../../src/ed_menu.py:813
811
1211
msgid "Online Documentation"
812
1212
msgstr ""
813
1213
 
814
 
#: ../../src/ed_menu.py:488
 
1214
#: ../../src/ed_menu.py:815
815
1215
msgid "Online project documentation and help guides"
816
1216
msgstr ""
817
1217
 
818
 
#: ../../src/ed_menu.py:239 ../../src/ed_toolbar.py:74
 
1218
#: ../../src/ed_menu.py:461 ../../src/ed_toolbar.py:72
819
1219
msgid "Open"
820
1220
msgstr ""
821
1221
 
822
 
#: ../../plugins/pyshell/pyshell/__init__.py:84
 
1222
#: ../../plugins/PyShell/pyshell/__init__.py:82
823
1223
msgid "Open A Python Shell"
824
1224
msgstr ""
825
1225
 
826
 
#: ../../src/ed_pages.py:363
 
1226
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:75
 
1227
msgid "Open Code Browser Sidepanel"
 
1228
msgstr ""
 
1229
 
 
1230
#: ../../src/ed_pages.py:417
827
1231
msgid "Open Directory?"
828
1232
msgstr ""
829
1233
 
830
 
#: ../../src/ed_pages.py:120
 
1234
#: ../../src/ed_pages.py:124
831
1235
msgid "Open File"
832
1236
msgstr ""
833
1237
 
834
 
#: ../../plugins/filebrowser/filebrowser/browser.py:206
 
1238
#: ../../plugins/filebrowser/filebrowser/browser.py:248
835
1239
msgid "Open File Browser Sidepanel"
836
1240
msgstr ""
837
1241
 
838
 
#: ../../src/ed_menu.py:241
 
1242
#: ../../src/ed_menu.py:463
839
1243
msgid "Open Recent"
840
1244
msgstr ""
841
1245
 
842
 
#: ../../src/prefdlg.py:383
 
1246
#: ../../src/prefdlg.py:378
843
1247
msgid "Open files in new windows by default"
844
1248
msgstr ""
845
1249
 
846
 
#: ../../src/ed_menu.py:334
 
1250
#: ../../src/ed_menu.py:588
847
1251
msgid "Open the Quick Find Bar"
848
1252
msgstr ""
849
1253
 
850
 
#: ../../src/ed_pages.py:379
 
1254
#: ../../plugins/filebrowser/filebrowser/browser.py:441
 
1255
msgid "Open with "
 
1256
msgstr ""
 
1257
 
 
1258
#: ../../src/ed_pages.py:437
851
1259
#, python-format
852
1260
msgid "Opened file: %s"
853
1261
msgstr ""
854
1262
 
855
 
#: ../../src/ed_menu.py:267
856
 
msgid "Page Setup"
857
 
msgstr ""
858
 
 
859
 
#: ../../src/ed_print.py:190
 
1263
#: ../../src/ed_main.py:1112
 
1264
#, python-format
 
1265
msgid "Opening %s"
 
1266
msgstr ""
 
1267
 
 
1268
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:50
 
1269
msgid "Packages"
 
1270
msgstr ""
 
1271
 
 
1272
#: ../../src/ed_menu.py:497
 
1273
msgid "Page Set&up"
 
1274
msgstr ""
 
1275
 
 
1276
#: ../../src/ed_print.py:185
860
1277
#, python-format
861
1278
msgid "Page: %d"
862
1279
msgstr ""
863
1280
 
864
 
#: ../../src/ed_menu.py:294 ../../src/ed_toolbar.py:86
 
1281
#: ../../src/prefdlg.py:1155
 
1282
msgid "Password"
 
1283
msgstr ""
 
1284
 
 
1285
#: ../../src/ed_stc.py:754 ../../src/ed_toolbar.py:84
865
1286
msgid "Paste"
866
1287
msgstr ""
867
1288
 
868
 
#: ../../src/ed_menu.py:295 ../../src/ed_toolbar.py:87
 
1289
#: ../../src/ed_menu.py:536 ../../src/ed_toolbar.py:85
869
1290
msgid "Paste Text from Clipboard to File"
870
1291
msgstr ""
871
1292
 
872
 
#: ../../plugins/filebrowser/filebrowser/browser.py:53
 
1293
#: ../../plugins/filebrowser/filebrowser/browser.py:106
873
1294
msgid "Pathmarks"
874
1295
msgstr ""
875
1296
 
876
 
#: ../../src/perspective.py:216
 
1297
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:178
 
1298
msgid "Permissions"
 
1299
msgstr ""
 
1300
 
 
1301
#: ../../src/perspective.py:212
877
1302
msgid "Perspective Name"
878
1303
msgstr ""
879
1304
 
880
 
#: ../../src/perspective.py:233
 
1305
#: ../../src/perspective.py:229
881
1306
msgid "Perspective to Delete"
882
1307
msgstr ""
883
1308
 
884
 
#: ../../src/ed_main.py:127
 
1309
#: ../../src/ed_main.py:122
885
1310
msgid "Perspectives"
886
1311
msgstr ""
887
1312
 
888
 
#: ../../src/Editra.py:504
 
1313
#: ../../plugins/Launch/launch/cfgdlg.py:405
 
1314
msgid "Plain Text"
 
1315
msgstr ""
 
1316
 
 
1317
#: ../../src/ed_main.py:1177
 
1318
msgid "Platform Info"
 
1319
msgstr ""
 
1320
 
 
1321
#: ../../src/Editra.py:592
889
1322
msgid "Please check the preferences dialog to reset your preferences"
890
1323
msgstr ""
891
1324
 
892
 
#: ../../src/plugdlg.py:945
 
1325
#: ../../src/plugdlg.py:870
893
1326
msgid "Plugin"
894
1327
msgstr ""
895
1328
 
896
 
#: ../../src/ed_main.py:793 ../../src/ed_menu.py:462
 
1329
#: ../../src/ed_main.py:855 ../../src/ed_menu.py:781
897
1330
msgid "Plugin Manager"
898
1331
msgstr ""
899
1332
 
900
 
#: ../../src/ed_menu.py:336
901
 
msgid "Preferences"
902
 
msgstr ""
903
 
 
904
 
#: ../../src/prefdlg.py:130
 
1333
#: ../../src/prefdlg.py:1140
 
1334
msgid "Port Number"
 
1335
msgstr ""
 
1336
 
 
1337
#: ../../src/ed_menu.py:590
 
1338
msgid "Pr&eferences"
 
1339
msgstr ""
 
1340
 
 
1341
#: ../../src/prefdlg.py:106
905
1342
msgid "Preferences - Editra"
906
1343
msgstr ""
907
1344
 
908
 
#: ../../src/style_editor.py:135
 
1345
#: ../../src/style_editor.py:130
909
1346
msgid "Preview"
910
1347
msgstr ""
911
1348
 
912
 
#: ../../src/ed_menu.py:270
 
1349
#: ../../src/ed_menu.py:502
913
1350
msgid "Preview Printout"
914
1351
msgstr ""
915
1352
 
916
 
#: ../../src/ed_cmdbar.py:253
 
1353
#: ../../src/ed_cmdbar.py:218
917
1354
msgid "Previous"
918
1355
msgstr ""
919
1356
 
920
 
#: ../../src/ed_menu.py:372
 
1357
#: ../../src/ed_menu.py:648
921
1358
msgid "Previous Bookmark"
922
1359
msgstr ""
923
1360
 
924
 
#: ../../src/prefdlg.py:546
 
1361
#: ../../src/prefdlg.py:601
925
1362
msgid "Primary Font"
926
1363
msgstr ""
927
1364
 
928
 
#: ../../src/ed_menu.py:271 ../../src/ed_toolbar.py:76
 
1365
#: ../../src/ed_toolbar.py:74
929
1366
msgid "Print"
930
1367
msgstr ""
931
1368
 
932
 
#: ../../src/ed_menu.py:272 ../../src/ed_toolbar.py:77
 
1369
#: ../../src/ed_menu.py:505 ../../src/ed_toolbar.py:75
933
1370
msgid "Print Current File"
934
1371
msgstr ""
935
1372
 
936
 
#: ../../src/ed_menu.py:269 ../../src/ed_print.py:91
 
1373
#: ../../src/ed_menu.py:500
 
1374
msgid "Print Pre&view"
 
1375
msgstr ""
 
1376
 
 
1377
#: ../../src/ed_print.py:86
937
1378
msgid "Print Preview"
938
1379
msgstr ""
939
1380
 
940
 
#: ../../src/prefdlg.py:355
 
1381
#: ../../src/prefdlg.py:346
941
1382
msgid "Printer Mode"
942
1383
msgstr ""
943
1384
 
944
 
#: ../../src/ed_main.py:551 ../../src/ed_main.py:573 ../../src/ed_menu.py:264
 
1385
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:51
 
1386
msgid "Procedure Definitions"
 
1387
msgstr ""
 
1388
 
 
1389
#: ../../src/ed_main.py:594 ../../src/ed_main.py:615 ../../src/ed_menu.py:494
945
1390
msgid "Profile"
946
1391
msgstr ""
947
1392
 
948
 
#: ../../src/ed_main.py:557
 
1393
#: ../../src/ed_main.py:599
949
1394
#, python-format
950
1395
msgid "Profile Saved as: %s"
951
1396
msgstr ""
952
1397
 
953
 
#: ../../src/Editra.py:506
 
1398
#: ../../src/Editra.py:594
954
1399
msgid "Profile Updated"
955
1400
msgstr ""
956
1401
 
957
 
#: ../../src/ed_menu.py:485
 
1402
#: ../../plugins/Launch/launch/launch.py:130
 
1403
msgid "Program Executable Command"
 
1404
msgstr ""
 
1405
 
 
1406
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:51
 
1407
msgid "Programs"
 
1408
msgstr ""
 
1409
 
 
1410
#: ../../src/ed_menu.py:809
958
1411
msgid "Project Homepage"
959
1412
msgstr ""
960
1413
 
961
 
#: ../../src/ed_menu.py:460
 
1414
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:51
 
1415
msgid "Protocols"
 
1416
msgstr ""
 
1417
 
 
1418
#: ../../src/ed_menu.py:779
962
1419
msgid "Provides a Contextual Help Menu Listing Standard Keywords/Functions"
963
1420
msgstr ""
964
1421
 
965
 
#: ../../src/iface.py:252
 
1422
#: ../../src/prefdlg.py:1126
 
1423
msgid "Proxy Settings"
 
1424
msgstr ""
 
1425
 
 
1426
#: ../../src/prefdlg.py:1136
 
1427
msgid "Proxy URL"
 
1428
msgstr ""
 
1429
 
 
1430
#: ../../src/iface.py:274
966
1431
msgid "Put an item on the Shelf"
967
1432
msgstr ""
968
1433
 
969
 
#: ../../src/ed_menu.py:333
970
 
msgid "Quick Find"
971
 
msgstr ""
972
 
 
973
 
#: ../../src/updater.py:624
 
1434
#: ../../src/updater.py:669
974
1435
#, python-format
975
1436
msgid "Rate: %.2f Kb/s"
976
1437
msgstr ""
977
1438
 
978
 
#: ../../src/ed_search.py:303
 
1439
#: ../../src/ed_search.py:315
979
1440
msgid "Recent Searches"
980
1441
msgstr ""
981
1442
 
982
 
#: ../../src/ed_menu.py:242
 
1443
#: ../../src/ed_menu.py:464
983
1444
msgid "Recently Opened Files"
984
1445
msgstr ""
985
1446
 
986
 
#: ../../src/ed_stc.py:1317
 
1447
#: ../../src/ed_stc.py:1461
987
1448
msgid "Recording Finished"
988
1449
msgstr ""
989
1450
 
990
 
#: ../../src/ed_stc.py:1304
 
1451
#: ../../src/ed_stc.py:1448
991
1452
msgid "Recording Macro"
992
1453
msgstr ""
993
1454
 
994
 
#: ../../src/ed_menu.py:287 ../../src/ed_toolbar.py:80
 
1455
#: ../../src/ed_menu.py:524 ../../src/ed_stc.py:750 ../../src/ed_toolbar.py:78
995
1456
msgid "Redo"
996
1457
msgstr ""
997
1458
 
998
 
#: ../../src/ed_menu.py:288 ../../src/ed_toolbar.py:80
 
1459
#: ../../src/ed_menu.py:526 ../../src/ed_toolbar.py:78
999
1460
msgid "Redo Last Undo"
1000
1461
msgstr ""
1001
1462
 
1002
 
#: ../../src/ed_pages.py:614
 
1463
#: ../../src/ed_pages.py:710
1003
1464
msgid "Reload File?"
1004
1465
msgstr ""
1005
1466
 
1006
 
#: ../../src/prefdlg.py:386
 
1467
#: ../../src/prefdlg.py:381
1007
1468
msgid "Remember File Position"
1008
1469
msgstr ""
1009
1470
 
1010
 
#: ../../src/prefdlg.py:892
 
1471
#: ../../src/prefdlg.py:956
1011
1472
msgid "Remember Window Position on Exit"
1012
1473
msgstr ""
1013
1474
 
1014
 
#: ../../src/prefdlg.py:889
 
1475
#: ../../src/prefdlg.py:953
1015
1476
msgid "Remember Window Size on Exit"
1016
1477
msgstr ""
1017
1478
 
1018
 
#: ../../src/ed_menu.py:324
 
1479
#: ../../src/ed_menu.py:574
1019
1480
msgid "Remove All Bookmarks"
1020
1481
msgstr ""
1021
1482
 
1022
 
#: ../../src/ed_menu.py:321
1023
 
msgid "Remove Bookmark"
1024
 
msgstr ""
1025
 
 
1026
 
#: ../../plugins/filebrowser/filebrowser/browser.py:76
 
1483
#: ../../plugins/filebrowser/filebrowser/browser.py:100
1027
1484
msgid "Remove Saved Path"
1028
1485
msgstr ""
1029
1486
 
1030
 
#: ../../src/ed_menu.py:325
 
1487
#: ../../src/ed_menu.py:576
1031
1488
msgid "Remove all bookmarks from the current document"
1032
1489
msgstr ""
1033
1490
 
1034
 
#: ../../src/ed_menu.py:323
1035
 
msgid "Remove bookmark from current line"
 
1491
#: ../../plugins/Launch/launch/cfgdlg.py:216
 
1492
msgid "Remove selection from list"
1036
1493
msgstr ""
1037
1494
 
1038
 
#: ../../src/ed_menu.py:412
 
1495
#: ../../src/ed_menu.py:716
1039
1496
msgid "Remove trailing whitespace"
1040
1497
msgstr ""
1041
1498
 
1042
 
#: ../../src/ed_search.py:172
 
1499
#: ../../plugins/filebrowser/filebrowser/browser.py:445
 
1500
msgid "Rename"
 
1501
msgstr ""
 
1502
 
 
1503
#: ../../src/ed_search.py:175
1043
1504
#, python-format
1044
1505
msgid ""
1045
1506
"Replace All Finished\n"
1046
1507
"A Total of %d matches were replaced"
1047
1508
msgstr ""
1048
1509
 
1049
 
#: ../../src/dev_tool.py:288
 
1510
#: ../../src/dev_tool.py:388
1050
1511
msgid "Report Error"
1051
1512
msgstr ""
1052
1513
 
1053
 
#: ../../src/ed_pages.py:594
 
1514
#: ../../src/ed_pages.py:690
1054
1515
msgid "Resave File?"
1055
1516
msgstr ""
1056
1517
 
1057
 
#: ../../src/prefdlg.py:912
1058
 
msgid "Restart Required"
1059
 
msgstr ""
1060
 
 
1061
 
#: ../../src/plugdlg.py:673
 
1518
#: ../../src/plugdlg.py:580
1062
1519
msgid "Retrieving Plugin List"
1063
1520
msgstr ""
1064
1521
 
1065
 
#: ../../src/prefdlg.py:800
 
1522
#: ../../plugins/filebrowser/filebrowser/browser.py:442
 
1523
msgid "Reveal in "
 
1524
msgstr ""
 
1525
 
 
1526
#: ../../src/prefdlg.py:847 ../../src/prefdlg.py:1512
1066
1527
msgid "Revert to Default"
1067
1528
msgstr ""
1068
1529
 
1069
 
#: ../../src/ed_menu.py:252 ../../src/ed_toolbar.py:75
 
1530
#: ../../plugins/Launch/launch/__init__.py:81
 
1531
#: ../../plugins/Launch/launch/launch.py:153
 
1532
#: ../../plugins/Launch/launch/launch.py:408
 
1533
msgid "Run"
 
1534
msgstr ""
 
1535
 
 
1536
#: ../../plugins/Launch/launch/__init__.py:66
 
1537
msgid "Run script from current buffer"
 
1538
msgstr ""
 
1539
 
 
1540
#: ../../plugins/Launch/launch/__init__.py:83
 
1541
msgid "Run the file associated with the current buffer in Launch"
 
1542
msgstr ""
 
1543
 
 
1544
#: ../../src/ed_toolbar.py:73
1070
1545
msgid "Save"
1071
1546
msgstr ""
1072
1547
 
1073
 
#: ../../src/ed_menu.py:256
 
1548
#: ../../src/ed_menu.py:480
 
1549
msgid "Save &As"
 
1550
msgstr ""
 
1551
 
 
1552
#: ../../src/ed_menu.py:483
1074
1553
msgid "Save All"
1075
1554
msgstr ""
1076
1555
 
1077
 
#: ../../src/ed_menu.py:254 ../../src/ed_menu.py:255
 
1556
#: ../../src/ed_menu.py:482
1078
1557
msgid "Save As"
1079
1558
msgstr ""
1080
1559
 
1081
 
#: ../../src/ed_main.py:1021
 
1560
#: ../../src/ed_main.py:1140
1082
1561
msgid "Save Changes?"
1083
1562
msgstr ""
1084
1563
 
1085
 
#: ../../src/ed_menu.py:253 ../../src/ed_toolbar.py:75
 
1564
#: ../../src/ed_menu.py:479 ../../src/ed_toolbar.py:73
1086
1565
msgid "Save Current File"
1087
1566
msgstr ""
1088
1567
 
1089
 
#: ../../src/ed_menu.py:261
 
1568
#: ../../src/ed_menu.py:490
1090
1569
msgid "Save Current Settings to a New Profile"
1091
1570
msgstr ""
1092
1571
 
1093
 
#: ../../src/perspective.py:75
 
1572
#: ../../src/perspective.py:69
1094
1573
msgid "Save Current View"
1095
1574
msgstr ""
1096
1575
 
1097
 
#: ../../src/ed_main.py:494 ../../src/ed_main.py:527
 
1576
#: ../../src/ed_mdlg.py:62
1098
1577
msgid "Save Error"
1099
1578
msgstr ""
1100
1579
 
1101
 
#: ../../src/perspective.py:217
 
1580
#: ../../src/perspective.py:213
1102
1581
msgid "Save Perspective"
1103
1582
msgstr ""
1104
1583
 
1105
 
#: ../../src/ed_menu.py:260
 
1584
#: ../../src/ed_menu.py:488
1106
1585
msgid "Save Profile"
1107
1586
msgstr ""
1108
1587
 
1109
 
#: ../../plugins/filebrowser/filebrowser/browser.py:71
 
1588
#: ../../plugins/filebrowser/filebrowser/browser.py:95
1110
1589
msgid "Save Selected Paths"
1111
1590
msgstr ""
1112
1591
 
1113
 
#: ../../src/style_editor.py:255
 
1592
#: ../../src/style_editor.py:250
1114
1593
msgid "Save Styles"
1115
1594
msgstr ""
1116
1595
 
1117
 
#: ../../src/ed_menu.py:257
 
1596
#: ../../src/ed_menu.py:485
1118
1597
msgid "Save all open pages"
1119
1598
msgstr ""
1120
1599
 
1121
 
#: ../../src/perspective.py:76
 
1600
#: ../../src/perspective.py:70
1122
1601
msgid "Save the current window layout"
1123
1602
msgstr ""
1124
1603
 
1125
 
#: ../../src/ed_main.py:533
 
1604
#: ../../src/ed_main.py:576
1126
1605
#, python-format
1127
1606
msgid "Saved File As: %s"
1128
1607
msgstr ""
1129
1608
 
1130
 
#: ../../src/ed_main.py:489
 
1609
#: ../../src/ed_main.py:531
1131
1610
#, python-format
1132
1611
msgid "Saved File: %s"
1133
1612
msgstr ""
1134
1613
 
1135
 
#: ../../src/prefdlg.py:553
 
1614
#: ../../plugins/Launch/launch/launch.py:143
 
1615
msgid "Script Arguments"
 
1616
msgstr ""
 
1617
 
 
1618
#: ../../src/prefdlg.py:604
1136
1619
msgid "Secondary Font"
1137
1620
msgstr ""
1138
1621
 
1139
 
#: ../../src/ed_menu.py:297
 
1622
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
1623
msgid "Sections"
 
1624
msgstr ""
 
1625
 
 
1626
#: ../../src/ed_menu.py:538
 
1627
msgid "Select &All"
 
1628
msgstr ""
 
1629
 
 
1630
#: ../../src/ed_stc.py:756
1140
1631
msgid "Select All"
1141
1632
msgstr ""
1142
1633
 
1143
 
#: ../../src/ed_menu.py:298
 
1634
#: ../../src/ed_menu.py:540
1144
1635
msgid "Select All Text in Document"
1145
1636
msgstr ""
1146
1637
 
1147
 
#: ../../src/plugdlg.py:501
 
1638
#: ../../src/plugdlg.py:406
1148
1639
msgid "Select plugins to download"
1149
1640
msgstr ""
1150
1641
 
1151
 
#: ../../src/plugdlg.py:459
 
1642
#: ../../src/plugdlg.py:359
1152
1643
msgid "Select the desired plugins and then Click Download"
1153
1644
msgstr ""
1154
1645
 
1155
 
#: ../../src/ed_menu.py:490
1156
 
msgid "Send me bug reports and suggestions"
 
1646
#: ../../src/ed_menu.py:821
 
1647
msgid "Send bug reports and suggestions"
1157
1648
msgstr ""
1158
1649
 
1159
 
#: ../../src/prefdlg.py:1304
 
1650
#: ../../src/prefdlg.py:1907
1160
1651
msgid "Set Font"
1161
1652
msgstr ""
1162
1653
 
1163
 
#: ../../src/style_editor.py:180
 
1654
#: ../../src/style_editor.py:175
1164
1655
msgid "Set the preview file type"
1165
1656
msgstr ""
1166
1657
 
1167
 
#: ../../src/prefdlg.py:558
 
1658
#: ../../src/prefdlg.py:580
1168
1659
msgid "Sets a secondary font used for special regions when syntax highlighting is in use"
1169
1660
msgstr ""
1170
1661
 
1171
 
#: ../../src/prefdlg.py:551
 
1662
#: ../../src/prefdlg.py:575
1172
1663
msgid "Sets the main/default font of the document"
1173
1664
msgstr ""
1174
1665
 
1175
 
#: ../../src/ed_menu.py:448
 
1666
#: ../../plugins/Launch/launch/launch.py:123
1176
1667
msgid "Settings"
1177
1668
msgstr ""
1178
1669
 
1179
 
#: ../../src/ed_menu.py:359 ../../src/ed_menu.py:360 ../../src/prefdlg.py:534
 
1670
#: ../../src/iface.py:273
 
1671
msgid "Shelf"
 
1672
msgstr ""
 
1673
 
 
1674
#: ../../src/ed_menu.py:627 ../../src/ed_menu.py:629 ../../src/prefdlg.py:560
1180
1675
msgid "Show EOL Markers"
1181
1676
msgstr ""
1182
1677
 
1183
 
#: ../../src/ed_menu.py:357
 
1678
#: ../../src/ed_menu.py:624
1184
1679
msgid "Show Edge Guide"
1185
1680
msgstr ""
1186
1681
 
1187
 
#: ../../plugins/filebrowser/filebrowser/browser.py:200
 
1682
#: ../../plugins/filebrowser/filebrowser/browser.py:240
1188
1683
msgid "Show Hidden Files"
1189
1684
msgstr ""
1190
1685
 
1191
 
#: ../../src/ed_menu.py:356
 
1686
#: ../../src/ed_menu.py:622
1192
1687
msgid "Show Indentation Guides"
1193
1688
msgstr ""
1194
1689
 
1195
 
#: ../../src/ed_menu.py:362
 
1690
#: ../../src/ed_menu.py:632
1196
1691
msgid "Show Line Number Margin"
1197
1692
msgstr ""
1198
1693
 
1199
 
#: ../../src/ed_menu.py:361 ../../src/prefdlg.py:536
 
1694
#: ../../src/ed_menu.py:630 ../../src/prefdlg.py:562
1200
1695
msgid "Show Line Numbers"
1201
1696
msgstr ""
1202
1697
 
1203
 
#: ../../src/iface.py:168
 
1698
#: ../../src/iface.py:181
1204
1699
msgid "Show Shelf"
1205
1700
msgstr ""
1206
1701
 
1207
 
#: ../../src/prefdlg.py:371
 
1702
#: ../../src/prefdlg.py:361
1208
1703
msgid "Show Splash Screen"
1209
1704
msgstr ""
1210
1705
 
1211
 
#: ../../src/ed_menu.py:376
 
1706
#: ../../src/ed_menu.py:654 ../../src/prefdlg.py:958
 
1707
msgid "Show Status Bar"
 
1708
msgstr ""
 
1709
 
 
1710
#: ../../src/ed_menu.py:657 ../../src/prefdlg.py:960
1212
1711
msgid "Show Toolbar"
1213
1712
msgstr ""
1214
1713
 
1215
 
#: ../../src/ed_menu.py:363 ../../src/prefdlg.py:538
 
1714
#: ../../src/ed_menu.py:633 ../../src/prefdlg.py:564
1216
1715
msgid "Show Whitespace"
1217
1716
msgstr ""
1218
1717
 
1219
 
#: ../../src/ed_menu.py:364
 
1718
#: ../../src/ed_menu.py:635
1220
1719
msgid "Show Whitespace Markers"
1221
1720
msgstr ""
1222
1721
 
1223
 
#: ../../src/iface.py:169
 
1722
#: ../../src/iface.py:182
1224
1723
msgid "Show the Shelf"
1225
1724
msgstr ""
1226
1725
 
1227
 
#: ../../src/ed_menu.py:358
 
1726
#: ../../src/ed_menu.py:626
1228
1727
msgid "Show the edge column guide"
1229
1728
msgstr ""
1230
1729
 
1231
 
#: ../../src/style_editor.py:685
 
1730
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:170
 
1731
#: ../../src/style_editor.py:680
1232
1732
msgid "Size"
1233
1733
msgstr ""
1234
1734
 
1235
 
#: ../../src/style_editor.py:253
 
1735
#: ../../src/style_editor.py:248
1236
1736
msgid "Some styles have been changed would you like to save before exiting?"
1237
1737
msgstr ""
1238
1738
 
1239
 
#: ../../src/ed_menu.py:407
 
1739
#: ../../src/ed_menu.py:708
1240
1740
msgid "Spaces to Tabs"
1241
1741
msgstr ""
1242
1742
 
1243
 
#: ../../src/ed_toolbar.py:73
 
1743
#: ../../src/ed_toolbar.py:71
1244
1744
msgid "Start a New File"
1245
1745
msgstr ""
1246
1746
 
1247
 
#: ../../src/style_editor.py:202
 
1747
#: ../../src/style_editor.py:197
1248
1748
msgid "Start a blank new style"
1249
1749
msgstr ""
1250
1750
 
1251
 
#: ../../src/ed_menu.py:235
 
1751
#: ../../src/ed_menu.py:454
1252
1752
msgid "Start a new file in a new tab"
1253
1753
msgstr ""
1254
1754
 
1255
 
#: ../../src/ed_menu.py:237
 
1755
#: ../../src/ed_menu.py:457
1256
1756
msgid "Start a new file in a new window"
1257
1757
msgstr ""
1258
1758
 
1259
 
#: ../../src/prefdlg.py:348
 
1759
#: ../../src/prefdlg.py:400
1260
1760
msgid "Startup Settings"
1261
1761
msgstr ""
1262
1762
 
1263
 
#: ../../src/updater.py:237
 
1763
#: ../../src/updater.py:284
1264
1764
msgid "Status Unknown"
1265
1765
msgstr ""
1266
1766
 
1267
 
#: ../../src/ed_menu.py:464 ../../src/style_editor.py:66
 
1767
#: ../../src/ed_menu.py:784 ../../src/style_editor.py:63
1268
1768
msgid "Style Editor"
1269
1769
msgstr ""
1270
1770
 
1271
 
#: ../../src/style_editor.py:219
 
1771
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
1772
#: ../../src/style_editor.py:214
1272
1773
msgid "Style Tags"
1273
1774
msgstr ""
1274
1775
 
1275
 
#: ../../src/style_editor.py:195
 
1776
#: ../../src/style_editor.py:190
1276
1777
msgid "Style Theme"
1277
1778
msgstr ""
1278
1779
 
1279
 
#: ../../src/plugdlg.py:821
 
1780
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1781
msgid "Subroutine Declarations"
 
1782
msgstr ""
 
1783
 
 
1784
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
1785
msgid "Subroutines"
 
1786
msgstr ""
 
1787
 
 
1788
#: ../../src/plugdlg.py:744
1280
1789
msgid "Successfully Installed Plugins"
1281
1790
msgstr ""
1282
1791
 
1283
 
#: ../../src/syntax/syntax.py:232
 
1792
#: ../../src/syntax/syntax.py:222
1284
1793
#, python-format
1285
1794
msgid "Switch Lexer to %s"
1286
1795
msgstr ""
1287
1796
 
1288
 
#: ../../src/style_editor.py:177
 
1797
#: ../../src/style_editor.py:172
1289
1798
msgid "Syntax Files"
1290
1799
msgstr ""
1291
1800
 
1292
 
#: ../../src/ed_menu.py:445 ../../src/prefdlg.py:481 ../../src/prefdlg.py:764
 
1801
#: ../../src/ed_menu.py:760 ../../src/prefdlg.py:487 ../../src/prefdlg.py:821
1293
1802
msgid "Syntax Highlighting"
1294
1803
msgstr ""
1295
1804
 
1296
 
#: ../../src/plugdlg.py:751
 
1805
#: ../../src/plugdlg.py:674
1297
1806
msgid "System Directory"
1298
1807
msgstr ""
1299
1808
 
1300
 
#: ../../src/prefdlg.py:517
 
1809
#: ../../src/prefdlg.py:526
1301
1810
msgid "Tab Width"
1302
1811
msgstr ""
1303
1812
 
1304
 
#: ../../src/ed_menu.py:409
 
1813
#: ../../src/ed_menu.py:711
1305
1814
msgid "Tabs to Spaces"
1306
1815
msgstr ""
1307
1816
 
1308
 
#: ../../src/ed_main.py:1018
 
1817
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1818
msgid "Task Definitions"
 
1819
msgstr ""
 
1820
 
 
1821
#: ../../plugins/Launch/launch/cfgdlg.py:392
 
1822
msgid "Text Colors"
 
1823
msgstr ""
 
1824
 
 
1825
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:259
 
1826
msgid "Text Document"
 
1827
msgstr ""
 
1828
 
 
1829
#: ../../src/ed_pages.py:277
 
1830
#, python-format
 
1831
msgid ""
 
1832
"The document could not be decoded with %(encoding1)s.\n"
 
1833
"\n"
 
1834
"Would you like to open it as %(encoding2)s instead?"
 
1835
msgstr ""
 
1836
 
 
1837
#: ../../src/ed_main.py:1137
1309
1838
#, python-format
1310
1839
msgid ""
1311
1840
"The file: \"%s\" has been modified since the last save point.\n"
1313
1842
"Would you like to save the changes?"
1314
1843
msgstr ""
1315
1844
 
1316
 
#: ../../src/ed_pages.py:351
 
1845
#: ../../src/ed_pages.py:404
1317
1846
#, python-format
1318
1847
msgid "There are no files that Editra can open in %s"
1319
1848
msgstr ""
1320
1849
 
1321
 
#: ../../src/plugdlg.py:738
 
1850
#: ../../src/plugdlg.py:661
1322
1851
msgid ""
1323
1852
"To add a new item drag and drop the plugin file into the list.\n"
1324
1853
"\n"
1325
1854
"To remove an item select it and hit Delete or Backspace."
1326
1855
msgstr ""
1327
1856
 
1328
 
#: ../../src/plugdlg.py:338
 
1857
#: ../../src/plugdlg.py:238
1329
1858
msgid "To enable a plugin check the box next to its label"
1330
1859
msgstr ""
1331
1860
 
1332
 
#: ../../plugins/filebrowser/filebrowser/browser.py:65
 
1861
#: ../../plugins/filebrowser/filebrowser/browser.py:88
1333
1862
#, python-format
1334
1863
msgid "To open multiple files at once %s+Click to select the desired files/folders then hit Enter to open them all at once"
1335
1864
msgstr ""
1336
1865
 
1337
 
#: ../../src/ed_menu.py:439
 
1866
#: ../../src/ed_menu.py:752
1338
1867
msgid "Toggle Auto-Indentation functionality"
1339
1868
msgstr ""
1340
1869
 
1341
 
#: ../../src/ed_menu.py:444
1342
 
msgid "Toggle Code Foldering"
1343
 
msgstr ""
1344
 
 
1345
 
#: ../../src/ed_menu.py:366
 
1870
#: ../../src/ed_menu.py:571
 
1871
msgid "Toggle Bookmark"
 
1872
msgstr ""
 
1873
 
 
1874
#: ../../src/ed_menu.py:759
 
1875
msgid "Toggle Code Folding"
 
1876
msgstr ""
 
1877
 
 
1878
#: ../../src/ed_menu.py:637
1346
1879
msgid "Toggle Editor View Options"
1347
1880
msgstr ""
1348
1881
 
1349
 
#: ../../src/ed_menu.py:375
1350
 
msgid "Toolbar"
 
1882
#: ../../src/ed_menu.py:573
 
1883
msgid "Toggle bookmark of the current line"
1351
1884
msgstr ""
1352
1885
 
1353
 
#: ../../src/prefdlg.py:872
 
1886
#: ../../src/prefdlg.py:934
1354
1887
msgid "Toolbar Icon Size"
1355
1888
msgstr ""
1356
1889
 
1357
 
#: ../../src/ed_menu.py:474
1358
 
msgid "Tools"
 
1890
#: ../../src/ed_menu.py:816
 
1891
msgid "Translate Editra"
1359
1892
msgstr ""
1360
1893
 
1361
 
#: ../../src/prefdlg.py:899
 
1894
#: ../../src/prefdlg.py:988
1362
1895
msgid "Transparency"
1363
1896
msgstr ""
1364
1897
 
1365
 
#: ../../src/ed_menu.py:314
 
1898
#: ../../src/ed_menu.py:565
1366
1899
msgid "Transpose Line"
1367
1900
msgstr ""
1368
1901
 
1369
 
#: ../../src/ed_menu.py:315
 
1902
#: ../../src/ed_menu.py:567
1370
1903
msgid "Transpose the current line with the previous one"
1371
1904
msgstr ""
1372
1905
 
1373
 
#: ../../src/ed_menu.py:411
 
1906
#: ../../src/ed_menu.py:714
1374
1907
msgid "Trim Trailing Whitespace"
1375
1908
msgstr ""
1376
1909
 
1377
 
#: ../../src/prefdlg.py:543
 
1910
#: ../../src/prefdlg.py:568
1378
1911
msgid "Turn off for better performance"
1379
1912
msgstr ""
1380
1913
 
1381
 
#: ../../src/util.py:171
 
1914
#: ../../src/util.py:167
1382
1915
msgid "Unable to open dropped file or text"
1383
1916
msgstr ""
1384
1917
 
1385
 
#: ../../src/plugdlg.py:504
 
1918
#: ../../src/plugdlg.py:411
1386
1919
msgid "Unable to retrieve plugin list"
1387
1920
msgstr ""
1388
1921
 
1389
 
#: ../../src/updater.py:125
 
1922
#: ../../src/updater.py:139
1390
1923
msgid "Unable to retrieve version info"
1391
1924
msgstr ""
1392
1925
 
1393
 
#: ../../src/ed_menu.py:390
 
1926
#: ../../src/ed_menu.py:676
1394
1927
msgid "Uncomment Lines"
1395
1928
msgstr ""
1396
1929
 
1397
 
#: ../../src/ed_menu.py:391
 
1930
#: ../../src/ed_menu.py:678
1398
1931
msgid "Uncomment the selected lines"
1399
1932
msgstr ""
1400
1933
 
1401
 
#: ../../src/ed_menu.py:285 ../../src/ed_toolbar.py:79
 
1934
#: ../../src/ed_stc.py:749 ../../src/ed_toolbar.py:77
1402
1935
msgid "Undo"
1403
1936
msgstr ""
1404
1937
 
1405
 
#: ../../src/ed_menu.py:286 ../../src/ed_toolbar.py:79
 
1938
#: ../../src/ed_menu.py:523 ../../src/ed_toolbar.py:77
1406
1939
msgid "Undo Last Action"
1407
1940
msgstr ""
1408
1941
 
1409
 
#: ../../src/ed_menu.py:395
 
1942
#: ../../src/ed_menu.py:685
1410
1943
msgid "Unindent Lines"
1411
1944
msgstr ""
1412
1945
 
1413
 
#: ../../src/ed_menu.py:396
 
1946
#: ../../src/ed_menu.py:687
1414
1947
msgid "Unindent the selected lines"
1415
1948
msgstr ""
1416
1949
 
1417
 
#: ../../src/ed_menu.py:419 ../../src/prefdlg.py:526
 
1950
#: ../../src/ed_menu.py:726 ../../src/prefdlg.py:552
1418
1951
msgid "Unix (\\n)"
1419
1952
msgstr ""
1420
1953
 
1421
 
#: ../../src/plugdlg.py:414 ../../src/plugdlg.py:415
 
1954
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:265
 
1955
#: ../../src/plugdlg.py:314 ../../src/plugdlg.py:315
1422
1956
msgid "Unknown"
1423
1957
msgstr ""
1424
1958
 
1425
 
#: ../../src/prefdlg.py:213
 
1959
#: ../../plugins/filebrowser/filebrowser/browser.py:579
 
1960
msgid "Untitled_File"
 
1961
msgstr ""
 
1962
 
 
1963
#: ../../plugins/filebrowser/filebrowser/browser.py:577
 
1964
msgid "Untitled_Folder"
 
1965
msgstr ""
 
1966
 
 
1967
#: ../../src/prefdlg.py:1095
1426
1968
msgid "Update"
1427
1969
msgstr ""
1428
1970
 
1429
 
#: ../../src/ed_menu.py:398
 
1971
#: ../../src/Editra.py:368
 
1972
msgid "Update Available"
 
1973
msgstr ""
 
1974
 
 
1975
#: ../../src/ed_menu.py:689
1430
1976
msgid "Uppercase"
1431
1977
msgstr ""
1432
1978
 
1433
 
#: ../../src/ed_menu.py:437
 
1979
#: ../../src/ed_menu.py:749
1434
1980
msgid "Use Auto Completion when available"
1435
1981
msgstr ""
1436
1982
 
1437
 
#: ../../src/prefdlg.py:910
1438
 
msgid "Use Metal Style"
1439
 
msgstr ""
1440
 
 
1441
 
#: ../../src/prefdlg.py:522
 
1983
#: ../../src/prefdlg.py:1131
 
1984
msgid "Use Proxy"
 
1985
msgstr ""
 
1986
 
 
1987
#: ../../src/ed_menu.py:697
 
1988
msgid "Use Soft Tabs"
 
1989
msgstr ""
 
1990
 
 
1991
#: ../../src/prefdlg.py:542
1442
1992
msgid "Use Tabs Instead of Spaces"
1443
1993
msgstr ""
1444
1994
 
1445
 
#: ../../src/plugdlg.py:747
 
1995
#: ../../src/plugdlg.py:670
1446
1996
msgid "User Directory"
1447
1997
msgstr ""
1448
1998
 
1449
 
#: ../../src/prefdlg.py:1137
1450
 
msgid "Value"
 
1999
#: ../../src/prefdlg.py:1148
 
2000
msgid "Username"
1451
2001
msgstr ""
1452
2002
 
1453
 
#: ../../src/plugdlg.py:948
 
2003
#: ../../src/plugdlg.py:873
1454
2004
msgid "Version"
1455
2005
msgstr ""
1456
2006
 
1457
 
#: ../../src/ed_menu.py:377
1458
 
msgid "View"
 
2007
#: ../../src/ed_log.py:74
 
2008
msgid "View Editra's console log"
1459
2009
msgstr ""
1460
2010
 
1461
 
#: ../../src/ed_menu.py:371
 
2011
#: ../../src/ed_menu.py:647
1462
2012
msgid "View Line of Next Bookmark"
1463
2013
msgstr ""
1464
2014
 
1465
 
#: ../../src/ed_menu.py:373
 
2015
#: ../../src/ed_menu.py:650
1466
2016
msgid "View Line of Previous Bookmark"
1467
2017
msgstr ""
1468
2018
 
1469
 
#: ../../src/prefdlg.py:531
 
2019
#: ../../src/prefdlg.py:594
1470
2020
msgid "View Options"
1471
2021
msgstr ""
1472
2022
 
1473
 
#: ../../src/ed_menu.py:486
 
2023
#: ../../src/ed_menu.py:811
1474
2024
#, python-format
1475
2025
msgid "Visit the project homepage %s"
1476
2026
msgstr ""
1477
2027
 
1478
 
#: ../../src/prefdlg.py:654
 
2028
#: ../../src/prefdlg.py:701
1479
2029
msgid "Visual Helpers"
1480
2030
msgstr ""
1481
2031
 
1482
 
#: ../../src/ed_main.py:549
 
2032
#: ../../plugins/Launch/launch/cfgdlg.py:426
 
2033
msgid "Warning Text"
 
2034
msgstr ""
 
2035
 
 
2036
#: ../../plugins/filebrowser/filebrowser/FileInfo.py:172
 
2037
msgid "Where"
 
2038
msgstr ""
 
2039
 
 
2040
#: ../../src/ed_main.py:592
1483
2041
msgid "Where to Save Profile?"
1484
2042
msgstr ""
1485
2043
 
1486
 
#: ../../src/ed_menu.py:413
 
2044
#: ../../src/ed_menu.py:717
1487
2045
msgid "Whitespace"
1488
2046
msgstr ""
1489
2047
 
1490
 
#: ../../src/ed_menu.py:414
 
2048
#: ../../src/ed_menu.py:718
1491
2049
msgid "Whitespace formating commands"
1492
2050
msgstr ""
1493
2051
 
1494
 
#: ../../src/ed_menu.py:422 ../../src/prefdlg.py:527
 
2052
#: ../../src/ed_menu.py:730 ../../src/prefdlg.py:553
1495
2053
msgid "Windows (\\r\\n)"
1496
2054
msgstr ""
1497
2055
 
1498
 
#: ../../src/ed_menu.py:403 ../../src/prefdlg.py:540
 
2056
#: ../../src/ed_menu.py:701 ../../src/prefdlg.py:566
1499
2057
msgid "Word Wrap"
1500
2058
msgstr ""
1501
2059
 
1502
 
#: ../../src/ed_menu.py:404
 
2060
#: ../../src/ed_menu.py:703
1503
2061
msgid "Wrap Text Horizontally"
1504
2062
msgstr ""
1505
2063
 
1506
 
#: ../../src/Editra.py:502
 
2064
#: ../../src/ed_main.py:1175
 
2065
#, python-format
 
2066
msgid "Written in 100%% Python."
 
2067
msgstr ""
 
2068
 
 
2069
#: ../../src/Editra.py:590
1507
2070
msgid "Your profile has been updated to the latest version"
1508
2071
msgstr ""
1509
2072
 
1510
 
#: ../../src/ed_menu.py:351 ../../src/ed_menu.py:352
 
2073
#: ../../src/ed_menu.py:610 ../../src/ed_menu.py:612
1511
2074
msgid "Zoom Default"
1512
2075
msgstr ""
1513
2076
 
1514
 
#: ../../src/ed_menu.py:349 ../../src/ed_menu.py:350
 
2077
#: ../../src/ed_menu.py:607 ../../src/ed_menu.py:609
1515
2078
msgid "Zoom In"
1516
2079
msgstr ""
1517
2080
 
1518
 
#: ../../src/ed_menu.py:347 ../../src/ed_menu.py:348
 
2081
#: ../../src/ed_menu.py:604 ../../src/ed_menu.py:606
1519
2082
msgid "Zoom Out"
1520
2083
msgstr ""
1521
2084
 
1522
 
#: ../../src/style_editor.py:656
 
2085
#: ../../plugins/Launch/launch/launch.py:140
 
2086
msgid "args"
 
2087
msgstr ""
 
2088
 
 
2089
#: ../../src/style_editor.py:651
1523
2090
msgid "bold"
1524
2091
msgstr ""
1525
2092
 
1526
 
#: ../../src/style_editor.py:657
 
2093
#: ../../src/style_editor.py:652
1527
2094
msgid "eol"
1528
2095
msgstr ""
1529
2096
 
1530
 
#: ../../src/style_editor.py:658
 
2097
#: ../../plugins/Launch/launch/launch.py:127
 
2098
msgid "exec"
 
2099
msgstr ""
 
2100
 
 
2101
#: ../../plugins/Launch/launch/launch.py:373
 
2102
msgid "file"
 
2103
msgstr ""
 
2104
 
 
2105
#: ../../src/style_editor.py:653
1531
2106
msgid "italic"
1532
2107
msgstr ""
1533
2108
 
1534
 
#: ../../src/style_editor.py:659
 
2109
#: ../../src/style_editor.py:654
1535
2110
msgid "underline"
1536
2111
msgstr ""