~ubuntu-branches/ubuntu/precise/verilator/precise

« back to all changes in this revision

Viewing changes to test_regress/t/t_var_tieout.pl

  • Committer: Bazaar Package Importer
  • Author(s): أحمد المحمودي (Ahmed El-Mahmoudy)
  • Date: 2010-11-07 10:32:20 UTC
  • mfrom: (1.2.1 upstream) (4.1.1 experimental)
  • Revision ID: james.westby@ubuntu.com-20101107103220-lm8xa69w6kbxt3z5
Tags: 3.805-1
* New upstream release.
* Upload to unstable.

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
#!/usr/bin/perl
 
2
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
 
3
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
 
4
#
 
5
# Copyright 2003 by Wilson Snyder. This program is free software; you can
 
6
# redistribute it and/or modify it under the terms of either the GNU
 
7
# Lesser General Public License Version 3 or the Perl Artistic License
 
8
# Version 2.0.
 
9
 
 
10
compile (
 
11
         );
 
12
 
 
13
execute (
 
14
         check_finished=>1,
 
15
     );
 
16
 
 
17
ok(1);
 
18
1;