~ubuntu-branches/ubuntu/precise/verilator/precise

« back to all changes in this revision

Viewing changes to test_regress/t/t_var_tieout.v

  • Committer: Bazaar Package Importer
  • Author(s): أحمد المحمودي (Ahmed El-Mahmoudy)
  • Date: 2010-11-07 10:32:20 UTC
  • mfrom: (1.2.1 upstream) (4.1.1 experimental)
  • Revision ID: james.westby@ubuntu.com-20101107103220-lm8xa69w6kbxt3z5
Tags: 3.805-1
* New upstream release.
* Upload to unstable.

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
// DESCRIPTION: Verilator: Verilog Test module
 
2
// This file ONLY is placed into the Public Domain, for any use,
 
3
// without warranty, 2010 by Wilson Snyder.
 
4
 
 
5
// bug291 
 
6
module t (/*AUTOARG*/
 
7
   // Inputs
 
8
   clk
 
9
   );
 
10
   input clk;
 
11
 
 
12
   integer  out18;
 
13
   /*AUTOWIRE*/
 
14
   // Beginning of automatic wires (for undeclared instantiated-module outputs)
 
15
   wire                 out1;                   // From test of Test.v
 
16
   wire                 out19;                  // From test of Test.v
 
17
   wire                 out1b;                  // From test of Test.v
 
18
   // End of automatics
 
19
 
 
20
   Test test (/*AUTOINST*/
 
21
              // Outputs
 
22
              .out1                     (out1),
 
23
              .out18                    (out18),
 
24
              .out1b                    (out1b),
 
25
              .out19                    (out19));
 
26
 
 
27
   // Test loop
 
28
   always @ (posedge clk) begin
 
29
      if (out1 !== 1'b1) $stop;
 
30
      if (out18 !== 32'h18) $stop;
 
31
      if (out1b !== 1'b1) $stop;
 
32
      if (out19 !== 1'b1) $stop;
 
33
      $write("*-* All Finished *-*\n");
 
34
      $finish;
 
35
   end
 
36
 
 
37
endmodule
 
38
 
 
39
module Test (
 
40
             output wire out1 = 1'b1,
 
41
             output integer out18 = 32'h18,
 
42
             output var out1b = 1'b1,
 
43
             output var logic out19 = 1'b1
 
44
             );
 
45
endmodule