~ubuntu-branches/ubuntu/precise/verilator/precise

« back to all changes in this revision

Viewing changes to readme.pod

  • Committer: Bazaar Package Importer
  • Author(s): أحمد المحمودي (Ahmed El-Mahmoudy)
  • Date: 2011-01-22 10:08:37 UTC
  • mfrom: (1.2.2 upstream)
  • Revision ID: james.westby@ubuntu.com-20110122100837-l1x2q9vm6m7gdrc8
Tags: 3.810-1
* New upstream release.
* Refreshed shebang.diff patch

Show diffs side-by-side

added added

removed removed

Lines of Context:
9
9
 
10
10
=head1 DISTRIBUTION
11
11
 
12
 
This package is Copyright 2003-2010 by Wilson Snyder.  (Report bugs to
 
12
This package is Copyright 2003-2011 by Wilson Snyder.  (Report bugs to
13
13
L<http://www.veripool.org/>.)
14
14
 
15
15
Verilator is free software; you can redistribute it and/or modify it under
97
97
 
98
98
You now have to decide how you're going to eventually install the kit.
99
99
 
 
100
Note Verilator builds the current value of VERILATOR_ROOT, SYSTEMC,
 
101
SYSTEMC_ARCH, SYSTEMPERL, and SYSTEMPERL_INCLUDE as defaults into the
 
102
executable, so try to have them correct before configuring.
 
103
 
 
104
=over 4
 
105
 
 
106
=item 1.
 
107
 
100
108
Our personal favorite is to always run Verilator from the kit directory.
101
109
This allows the easiest experimentation and upgrading.  It's also how most
102
110
EDA tools operate; to run any of them you point to the tarball.
105
113
    setenv VERILATOR_ROOT `pwd`   # if your shell is csh
106
114
    ./configure
107
115
 
 
116
=item 2.
 
117
 
 
118
To install globally onto a "cad" disk with multiple versions of every tool,
 
119
and add it to path using Modules/modulecmd:
 
120
 
 
121
    unset VERILATOR_ROOT      # if your shell is bash
 
122
    unsetenv VERILATOR_ROOT   # if your shell is csh
 
123
    # For the tarball, use the version number instead of git describe
 
124
    ./configure --prefix /CAD_DISK/verilator/`git describe | sed "s/verilator_//"`
 
125
 
 
126
    After installing you'll want a module file like the following:
 
127
 
 
128
    set install_root /CAD_DISK/verilator/{version-number-used-above}
 
129
    setenv VERILATOR_ROOT $install_root
 
130
    prepend-path PATH $install_root/bin
 
131
    prepend-path MANPATH $install_root/man
 
132
 
 
133
=item 3.
 
134
 
108
135
The next option is to install it globally, using the normal system paths:
109
136
 
110
137
    unset VERILATOR_ROOT      # if your shell is bash
111
138
    unsetenv VERILATOR_ROOT   # if your shell is csh
112
139
    ./configure
113
140
 
 
141
=item 4.
 
142
 
114
143
Alternatively you can configure a prefix that install will populate, as
115
144
most GNU tools support:
116
145
 
118
147
    unsetenv VERILATOR_ROOT   # if your shell is csh
119
148
    ./configure --prefix /opt/verilator-VERSION
120
149
 
121
 
Note Verilator builds the current value of VERILATOR_ROOT, SYSTEMC,
122
 
SYSTEMC_ARCH, SYSTEMPERL, and SYSTEMPERL_INCLUDE as defaults into the
123
 
executable, so try to have them correct before configuring.
 
150
=back
124
151
 
125
152
=item
126
153