~ubuntu-branches/ubuntu/precise/verilator/precise

« back to all changes in this revision

Viewing changes to test_regress/t/t_debug_fatalsrc_bt_bad.pl

  • Committer: Bazaar Package Importer
  • Author(s): أحمد المحمودي (Ahmed El-Mahmoudy)
  • Date: 2011-01-22 10:08:37 UTC
  • mfrom: (1.2.2 upstream)
  • Revision ID: james.westby@ubuntu.com-20110122100837-l1x2q9vm6m7gdrc8
Tags: 3.810-1
* New upstream release.
* Refreshed shebang.diff patch

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
#!/usr/bin/perl
 
2
if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); die; }
 
3
# DESCRIPTION: Verilator: Verilog Test driver/expect definition
 
4
#
 
5
# Copyright 2010 by Wilson Snyder. This program is free software; you can
 
6
# redistribute it and/or modify it under the terms of either the GNU
 
7
# Lesser General Public License Version 3 or the Perl Artistic License
 
8
# Version 2.0.
 
9
 
 
10
compile (
 
11
         v_flags2 => ["--lint-only --debug --gdbbt --debug-fatalsrc"],
 
12
         fails=>$Self->{v3},
 
13
         expect=>
 
14
'%Error: Internal Error: .*: --debug-fatal-src
 
15
%Error: Internal Error: See the manual and http://www.veripool.org/verilator for more assistance.
 
16
.*in V3Options::.*
 
17
.*%Error: Command Failed.*',
 
18
         );
 
19
 
 
20
ok(1);
 
21
1;