~slonua/+junk/rtl8821ce

« back to all changes in this revision

Viewing changes to include/hal_com_led.h

  • Committer: Vitaliy Kulikov
  • Date: 2017-09-24 10:25:11 UTC
  • Revision ID: slonua@gmail.com-20170924102511-9q45rcjnowest8ee
init

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
/******************************************************************************
 
2
 *
 
3
 * Copyright(c) 2007 - 2011 Realtek Corporation. All rights reserved.
 
4
 *
 
5
 * This program is free software; you can redistribute it and/or modify it
 
6
 * under the terms of version 2 of the GNU General Public License as
 
7
 * published by the Free Software Foundation.
 
8
 *
 
9
 * This program is distributed in the hope that it will be useful, but WITHOUT
 
10
 * ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
 
11
 * FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for
 
12
 * more details.
 
13
 *
 
14
 * You should have received a copy of the GNU General Public License along with
 
15
 * this program; if not, write to the Free Software Foundation, Inc.,
 
16
 * 51 Franklin Street, Fifth Floor, Boston, MA 02110, USA
 
17
 *
 
18
 *
 
19
 ******************************************************************************/
 
20
#ifndef __HAL_COMMON_LED_H_
 
21
#define __HAL_COMMON_LED_H_
 
22
 
 
23
 
 
24
#define MSECS(t)        (HZ * ((t) / 1000) + (HZ * ((t) % 1000)) / 1000)
 
25
 
 
26
/* ********************************************************************************
 
27
 *      LED Behavior Constant.
 
28
 * ********************************************************************************
 
29
 * Default LED behavior.
 
30
 *   */
 
31
#define LED_BLINK_NORMAL_INTERVAL       100
 
32
#define LED_BLINK_SLOWLY_INTERVAL       200
 
33
#define LED_BLINK_LONG_INTERVAL 400
 
34
#define LED_INITIAL_INTERVAL            1800
 
35
 
 
36
/* LED Customerization */
 
37
 
 
38
/* NETTRONIX */
 
39
#define LED_BLINK_NORMAL_INTERVAL_NETTRONIX     100
 
40
#define LED_BLINK_SLOWLY_INTERVAL_NETTRONIX     2000
 
41
 
 
42
/* PORNET */
 
43
#define LED_BLINK_SLOWLY_INTERVAL_PORNET        1000
 
44
#define LED_BLINK_NORMAL_INTERVAL_PORNET        100
 
45
#define LED_BLINK_FAST_INTERVAL_BITLAND         30
 
46
 
 
47
/* AzWave. */
 
48
#define LED_CM2_BLINK_ON_INTERVAL               250
 
49
#define LED_CM2_BLINK_OFF_INTERVAL              4750
 
50
#define LED_CM8_BLINK_OFF_INTERVAL              3750    /* for QMI */
 
51
 
 
52
/* RunTop */
 
53
#define LED_RunTop_BLINK_INTERVAL               300
 
54
 
 
55
/* ALPHA */
 
56
#define LED_BLINK_NO_LINK_INTERVAL_ALPHA        1000
 
57
#define LED_BLINK_NO_LINK_INTERVAL_ALPHA_500MS 500 /* add by ylb 20121012 for customer led for alpha */
 
58
#define LED_BLINK_LINK_INTERVAL_ALPHA           500     /* 500 */
 
59
#define LED_BLINK_SCAN_INTERVAL_ALPHA           180     /* 150 */
 
60
#define LED_BLINK_FASTER_INTERVAL_ALPHA         50
 
61
#define LED_BLINK_WPS_SUCESS_INTERVAL_ALPHA     5000
 
62
 
 
63
/* 111122 by hpfan: Customized for Xavi */
 
64
#define LED_CM11_BLINK_INTERVAL                 300
 
65
#define LED_CM11_LINK_ON_INTERVEL               3000
 
66
 
 
67
/* Netgear */
 
68
#define LED_BLINK_LINK_INTERVAL_NETGEAR         500
 
69
#define LED_BLINK_LINK_SLOWLY_INTERVAL_NETGEAR          1000
 
70
 
 
71
#define LED_WPS_BLINK_OFF_INTERVAL_NETGEAR              100
 
72
#define LED_WPS_BLINK_ON_INTERVAL_NETGEAR               500
 
73
 
 
74
/* Belkin AC950 */
 
75
#define LED_BLINK_LINK_INTERVAL_ON_BELKIN               200
 
76
#define LED_BLINK_LINK_INTERVAL_OFF_BELKIN              100
 
77
#define LED_BLINK_ERROR_INTERVAL_BELKIN         100
 
78
 
 
79
/* by chiyokolin for Azurewave */
 
80
#define LED_CM12_BLINK_INTERVAL_5Mbps           160
 
81
#define LED_CM12_BLINK_INTERVAL_10Mbps          80
 
82
#define LED_CM12_BLINK_INTERVAL_20Mbps          50
 
83
#define LED_CM12_BLINK_INTERVAL_40Mbps          40
 
84
#define LED_CM12_BLINK_INTERVAL_80Mbps          30
 
85
#define LED_CM12_BLINK_INTERVAL_MAXMbps         25
 
86
 
 
87
/* Dlink */
 
88
#define LED_BLINK_NO_LINK_INTERVAL              1000
 
89
#define LED_BLINK_LINK_IDEL_INTERVAL            100
 
90
 
 
91
#define LED_BLINK_SCAN_ON_INTERVAL              30
 
92
#define LED_BLINK_SCAN_OFF_INTERVAL             300
 
93
 
 
94
#define LED_WPS_BLINK_ON_INTERVAL_DLINK         30
 
95
#define LED_WPS_BLINK_OFF_INTERVAL_DLINK                        300
 
96
#define LED_WPS_BLINK_LINKED_ON_INTERVAL_DLINK                  5000
 
97
 
 
98
/* ********************************************************************************
 
99
 * LED object.
 
100
 * ******************************************************************************** */
 
101
 
 
102
typedef enum _LED_CTL_MODE {
 
103
        LED_CTL_POWER_ON = 1,
 
104
        LED_CTL_LINK = 2,
 
105
        LED_CTL_NO_LINK = 3,
 
106
        LED_CTL_TX = 4,
 
107
        LED_CTL_RX = 5,
 
108
        LED_CTL_SITE_SURVEY = 6,
 
109
        LED_CTL_POWER_OFF = 7,
 
110
        LED_CTL_START_TO_LINK = 8,
 
111
        LED_CTL_START_WPS = 9,
 
112
        LED_CTL_STOP_WPS = 10,
 
113
        LED_CTL_START_WPS_BOTTON = 11, /* added for runtop */
 
114
        LED_CTL_STOP_WPS_FAIL = 12, /* added for ALPHA   */
 
115
        LED_CTL_STOP_WPS_FAIL_OVERLAP = 13, /* added for BELKIN */
 
116
        LED_CTL_CONNECTION_NO_TRANSFER = 14,
 
117
} LED_CTL_MODE;
 
118
 
 
119
typedef enum _LED_STATE {
 
120
        LED_UNKNOWN = 0,
 
121
        RTW_LED_ON = 1,
 
122
        RTW_LED_OFF = 2,
 
123
        LED_BLINK_NORMAL = 3,
 
124
        LED_BLINK_SLOWLY = 4,
 
125
        LED_BLINK_POWER_ON = 5,
 
126
        LED_BLINK_SCAN = 6,     /* LED is blinking during scanning period, the # of times to blink is depend on time for scanning. */
 
127
        LED_BLINK_NO_LINK = 7, /* LED is blinking during no link state. */
 
128
        LED_BLINK_StartToBlink = 8, /* Customzied for Sercomm Printer Server case */
 
129
        LED_BLINK_TXRX = 9,
 
130
        LED_BLINK_WPS = 10,     /* LED is blinkg during WPS communication */
 
131
        LED_BLINK_WPS_STOP = 11,        /* for ALPHA */
 
132
        LED_BLINK_WPS_STOP_OVERLAP = 12,        /* for BELKIN */
 
133
        LED_BLINK_RUNTOP = 13,  /* Customized for RunTop */
 
134
        LED_BLINK_CAMEO = 14,
 
135
        LED_BLINK_XAVI = 15,
 
136
        LED_BLINK_ALWAYS_ON = 16,
 
137
        LED_BLINK_LINK_IN_PROCESS = 17,  /* Customized for Belkin AC950 */
 
138
        LED_BLINK_AUTH_ERROR = 18,  /* Customized for Belkin AC950 */
 
139
        LED_BLINK_Azurewave_5Mbps = 19,
 
140
        LED_BLINK_Azurewave_10Mbps = 20,
 
141
        LED_BLINK_Azurewave_20Mbps = 21,
 
142
        LED_BLINK_Azurewave_40Mbps = 22,
 
143
        LED_BLINK_Azurewave_80Mbps = 23,
 
144
        LED_BLINK_Azurewave_MAXMbps = 24,
 
145
        LED_BLINK_LINK_IDEL = 25,
 
146
        LED_BLINK_WPS_LINKED = 26,
 
147
} LED_STATE;
 
148
 
 
149
typedef enum _LED_PIN {
 
150
        LED_PIN_GPIO0,
 
151
        LED_PIN_LED0,
 
152
        LED_PIN_LED1,
 
153
        LED_PIN_LED2
 
154
} LED_PIN;
 
155
 
 
156
 
 
157
/* ********************************************************************************
 
158
 * PCIE LED Definition.
 
159
 * ******************************************************************************** */
 
160
#ifdef CONFIG_PCI_HCI
 
161
typedef enum _LED_STRATEGY_PCIE {
 
162
        SW_LED_MODE0, /* SW control 1 LED via GPIO0. It is default option. */
 
163
        SW_LED_MODE1, /* SW control for PCI Express */
 
164
        SW_LED_MODE2, /* SW control for Cameo. */
 
165
        SW_LED_MODE3, /* SW contorl for RunTop. */
 
166
        SW_LED_MODE4, /* SW control for Netcore */
 
167
        SW_LED_MODE5, /* added by vivi, for led new mode, DLINK */
 
168
        SW_LED_MODE6, /* added by vivi, for led new mode, PRONET */
 
169
        SW_LED_MODE7, /* added by chiyokolin, for Lenovo, PCI Express Minicard Spec Rev.1.2 spec */
 
170
        SW_LED_MODE8, /* added by chiyokolin, for QMI */
 
171
        SW_LED_MODE9, /* added by chiyokolin, for BITLAND-LENOVO, PCI Express Minicard Spec Rev.1.1      */
 
172
        SW_LED_MODE10, /* added by chiyokolin, for Edimax-ASUS */
 
173
        SW_LED_MODE11,  /* added by hpfan, for Xavi */
 
174
        SW_LED_MODE12,  /* added by chiyokolin, for Azurewave */
 
175
        HW_LED, /* HW control 2 LEDs, LED0 and LED1 (there are 4 different control modes) */
 
176
} LED_STRATEGY_PCIE, *PLED_STRATEGY_PCIE;
 
177
 
 
178
typedef struct _LED_PCIE {
 
179
        PADAPTER                padapter;
 
180
 
 
181
        LED_PIN                 LedPin; /* Identify how to implement this SW led. */
 
182
 
 
183
        LED_STATE               CurrLedState; /* Current LED state. */
 
184
        BOOLEAN                 bLedOn; /* TRUE if LED is ON, FALSE if LED is OFF. */
 
185
 
 
186
        BOOLEAN                 bLedBlinkInProgress; /* TRUE if it is blinking, FALSE o.w.. */
 
187
        BOOLEAN                 bLedWPSBlinkInProgress; /* TRUE if it is blinking, FALSE o.w.. */
 
188
 
 
189
        BOOLEAN                 bLedSlowBlinkInProgress;/* added by vivi, for led new mode */
 
190
        u32                             BlinkTimes; /* Number of times to toggle led state for blinking. */
 
191
        LED_STATE               BlinkingLedState; /* Next state for blinking, either LED_ON or LED_OFF are. */
 
192
 
 
193
        _timer                  BlinkTimer; /* Timer object for led blinking. */
 
194
} LED_PCIE, *PLED_PCIE;
 
195
 
 
196
typedef struct _LED_PCIE        LED_DATA, *PLED_DATA;
 
197
typedef enum _LED_STRATEGY_PCIE LED_STRATEGY, *PLED_STRATEGY;
 
198
 
 
199
VOID
 
200
LedControlPCIE(
 
201
        IN      PADAPTER                Adapter,
 
202
        IN      LED_CTL_MODE            LedAction
 
203
);
 
204
 
 
205
VOID
 
206
gen_RefreshLedState(
 
207
        IN      PADAPTER                Adapter);
 
208
 
 
209
/* ********************************************************************************
 
210
 * USB  LED Definition.
 
211
 * ******************************************************************************** */
 
212
#elif defined(CONFIG_USB_HCI)
 
213
 
 
214
#define IS_LED_WPS_BLINKING(_LED_USB)   (((PLED_USB)_LED_USB)->CurrLedState == LED_BLINK_WPS \
 
215
                || ((PLED_USB)_LED_USB)->CurrLedState == LED_BLINK_WPS_STOP \
 
216
                || ((PLED_USB)_LED_USB)->bLedWPSBlinkInProgress)
 
217
 
 
218
#define IS_LED_BLINKING(_LED_USB)       (((PLED_USB)_LED_USB)->bLedWPSBlinkInProgress \
 
219
                || ((PLED_USB)_LED_USB)->bLedScanBlinkInProgress)
 
220
 
 
221
 
 
222
typedef enum _LED_STRATEGY_USB {
 
223
        SW_LED_MODE0, /* SW control 1 LED via GPIO0. It is default option. */
 
224
        SW_LED_MODE1, /* 2 LEDs, through LED0 and LED1. For ALPHA. */
 
225
        SW_LED_MODE2, /* SW control 1 LED via GPIO0, customized for AzWave 8187 minicard. */
 
226
        SW_LED_MODE3, /* SW control 1 LED via GPIO0, customized for Sercomm Printer Server case. */
 
227
        SW_LED_MODE4, /* for Edimax / Belkin */
 
228
        SW_LED_MODE5, /* for Sercomm / Belkin    */
 
229
        SW_LED_MODE6,   /* for 88CU minicard, porting from ce SW_LED_MODE7 */
 
230
        SW_LED_MODE7,   /* for Netgear special requirement */
 
231
        SW_LED_MODE8, /* for LC */
 
232
        SW_LED_MODE9, /* for Belkin AC950 */
 
233
        SW_LED_MODE10, /* for Netgear A6200V2 */
 
234
        SW_LED_MODE11, /* for Edimax / ASUS */
 
235
        SW_LED_MODE12, /* for WNC/NEC */
 
236
        SW_LED_MODE13, /* for Netgear A6100, 8811Au */
 
237
        SW_LED_MODE14, /* for Buffalo, DNI, 8811Au */
 
238
        SW_LED_MODE15, /* for DLINK,  8811Au/8812AU      */
 
239
        HW_LED, /* HW control 2 LEDs, LED0 and LED1 (there are 4 different control modes, see MAC.CONFIG1 for details.) */
 
240
} LED_STRATEGY_USB, *PLED_STRATEGY_USB;
 
241
 
 
242
 
 
243
typedef struct _LED_USB {
 
244
        PADAPTER                        padapter;
 
245
 
 
246
        LED_PIN                         LedPin; /* Identify how to implement this SW led. */
 
247
 
 
248
        LED_STATE                       CurrLedState; /* Current LED state. */
 
249
        BOOLEAN                         bLedOn; /* TRUE if LED is ON, FALSE if LED is OFF. */
 
250
 
 
251
        BOOLEAN                         bSWLedCtrl;
 
252
 
 
253
        BOOLEAN                         bLedBlinkInProgress; /* TRUE if it is blinking, FALSE o.w.. */
 
254
        /* ALPHA, added by chiyoko, 20090106 */
 
255
        BOOLEAN                         bLedNoLinkBlinkInProgress;
 
256
        BOOLEAN                         bLedLinkBlinkInProgress;
 
257
        BOOLEAN                         bLedStartToLinkBlinkInProgress;
 
258
        BOOLEAN                         bLedScanBlinkInProgress;
 
259
        BOOLEAN                         bLedWPSBlinkInProgress;
 
260
 
 
261
        u32                                     BlinkTimes; /* Number of times to toggle led state for blinking. */
 
262
        u8                                      BlinkCounter; /* Added for turn off overlap led after blinking a while, by page, 20120821 */
 
263
        LED_STATE                       BlinkingLedState; /* Next state for blinking, either LED_ON or LED_OFF are. */
 
264
 
 
265
        _timer                          BlinkTimer; /* Timer object for led blinking. */
 
266
 
 
267
        _workitem                       BlinkWorkItem; /* Workitem used by BlinkTimer to manipulate H/W to blink LED.' */
 
268
} LED_USB, *PLED_USB;
 
269
 
 
270
typedef struct _LED_USB LED_DATA, *PLED_DATA;
 
271
typedef enum _LED_STRATEGY_USB  LED_STRATEGY, *PLED_STRATEGY;
 
272
 
 
273
VOID
 
274
LedControlUSB(
 
275
        IN      PADAPTER                Adapter,
 
276
        IN      LED_CTL_MODE            LedAction
 
277
);
 
278
 
 
279
 
 
280
/* ********************************************************************************
 
281
 * SDIO LED Definition.
 
282
 * ******************************************************************************** */
 
283
#elif defined(CONFIG_SDIO_HCI) || defined(CONFIG_GSPI_HCI)
 
284
 
 
285
#define IS_LED_WPS_BLINKING(_LED_SDIO)  (((PLED_SDIO)_LED_SDIO)->CurrLedState == LED_BLINK_WPS \
 
286
                || ((PLED_SDIO)_LED_SDIO)->CurrLedState == LED_BLINK_WPS_STOP \
 
287
                || ((PLED_SDIO)_LED_SDIO)->bLedWPSBlinkInProgress)
 
288
 
 
289
#define IS_LED_BLINKING(_LED_SDIO)      (((PLED_SDIO)_LED_SDIO)->bLedWPSBlinkInProgress \
 
290
                || ((PLED_SDIO)_LED_SDIO)->bLedScanBlinkInProgress)
 
291
 
 
292
 
 
293
typedef enum _LED_STRATEGY_SDIO {
 
294
        SW_LED_MODE0, /* SW control 1 LED via GPIO0. It is default option. */
 
295
        SW_LED_MODE1, /* 2 LEDs, through LED0 and LED1. For ALPHA. */
 
296
        SW_LED_MODE2, /* SW control 1 LED via GPIO0, customized for AzWave 8187 minicard. */
 
297
        SW_LED_MODE3, /* SW control 1 LED via GPIO0, customized for Sercomm Printer Server case. */
 
298
        SW_LED_MODE4, /* for Edimax / Belkin */
 
299
        SW_LED_MODE5, /* for Sercomm / Belkin    */
 
300
        SW_LED_MODE6,   /* for 88CU minicard, porting from ce SW_LED_MODE7 */
 
301
        HW_LED, /* HW control 2 LEDs, LED0 and LED1 (there are 4 different control modes, see MAC.CONFIG1 for details.) */
 
302
} LED_STRATEGY_SDIO, *PLED_STRATEGY_SDIO;
 
303
 
 
304
typedef struct _LED_SDIO {
 
305
        PADAPTER                        padapter;
 
306
 
 
307
        LED_PIN                         LedPin; /* Identify how to implement this SW led. */
 
308
 
 
309
        LED_STATE                       CurrLedState; /* Current LED state. */
 
310
        BOOLEAN                         bLedOn; /* TRUE if LED is ON, FALSE if LED is OFF. */
 
311
 
 
312
        BOOLEAN                         bSWLedCtrl;
 
313
 
 
314
        BOOLEAN                         bLedBlinkInProgress; /* TRUE if it is blinking, FALSE o.w.. */
 
315
        /* ALPHA, added by chiyoko, 20090106 */
 
316
        BOOLEAN                         bLedNoLinkBlinkInProgress;
 
317
        BOOLEAN                         bLedLinkBlinkInProgress;
 
318
        BOOLEAN                         bLedStartToLinkBlinkInProgress;
 
319
        BOOLEAN                         bLedScanBlinkInProgress;
 
320
        BOOLEAN                         bLedWPSBlinkInProgress;
 
321
 
 
322
        u32                                     BlinkTimes; /* Number of times to toggle led state for blinking. */
 
323
        LED_STATE                       BlinkingLedState; /* Next state for blinking, either LED_ON or LED_OFF are. */
 
324
 
 
325
        _timer                          BlinkTimer; /* Timer object for led blinking. */
 
326
 
 
327
        _workitem                       BlinkWorkItem; /* Workitem used by BlinkTimer to manipulate H/W to blink LED. */
 
328
} LED_SDIO, *PLED_SDIO;
 
329
 
 
330
typedef struct _LED_SDIO        LED_DATA, *PLED_DATA;
 
331
typedef enum _LED_STRATEGY_SDIO LED_STRATEGY, *PLED_STRATEGY;
 
332
 
 
333
VOID
 
334
LedControlSDIO(
 
335
        IN      PADAPTER                Adapter,
 
336
        IN      LED_CTL_MODE            LedAction
 
337
);
 
338
 
 
339
#endif
 
340
 
 
341
struct led_priv {
 
342
        /* add for led controll */
 
343
        LED_DATA                        SwLed0;
 
344
        LED_DATA                        SwLed1;
 
345
        LED_DATA                        SwLed2;
 
346
        LED_STRATEGY            LedStrategy;
 
347
        u8                                      bRegUseLed;
 
348
        void (*LedControlHandler)(_adapter *padapter, LED_CTL_MODE LedAction);
 
349
        void (*SwLedOn)(_adapter *padapter, PLED_DATA pLed);
 
350
        void (*SwLedOff)(_adapter *padapter, PLED_DATA pLed);
 
351
        /* add for led controll */
 
352
};
 
353
 
 
354
#ifdef CONFIG_SW_LED
 
355
#define rtw_led_control(adapter, LedAction) \
 
356
        do { \
 
357
                if ((adapter)->ledpriv.LedControlHandler) \
 
358
                        (adapter)->ledpriv.LedControlHandler((adapter), (LedAction)); \
 
359
        } while (0)
 
360
#else /* CONFIG_SW_LED */
 
361
#define rtw_led_control(adapter, LedAction)
 
362
#endif /* CONFIG_SW_LED */
 
363
 
 
364
#define SwLedOn(adapter, pLed) \
 
365
        do { \
 
366
                if ((adapter)->ledpriv.SwLedOn) \
 
367
                        (adapter)->ledpriv.SwLedOn((adapter), (pLed)); \
 
368
        } while (0)
 
369
 
 
370
#define SwLedOff(adapter, pLed) \
 
371
        do { \
 
372
                if ((adapter)->ledpriv.SwLedOff) \
 
373
                        (adapter)->ledpriv.SwLedOff((adapter), (pLed)); \
 
374
        } while (0)
 
375
 
 
376
void BlinkTimerCallback(void *data);
 
377
void BlinkWorkItemCallback(_workitem *work);
 
378
 
 
379
void ResetLedStatus(PLED_DATA pLed);
 
380
 
 
381
void
 
382
InitLed(
 
383
        _adapter                        *padapter,
 
384
        PLED_DATA               pLed,
 
385
        LED_PIN                 LedPin
 
386
);
 
387
 
 
388
void
 
389
DeInitLed(
 
390
        PLED_DATA               pLed
 
391
);
 
392
 
 
393
/* hal... */
 
394
extern void BlinkHandler(PLED_DATA      pLed);
 
395
 
 
396
#endif /* __RTW_LED_H_ */