~ubuntu-branches/ubuntu/precise/gnuradio/precise

« back to all changes in this revision

Viewing changes to usrp/fpga/megacells/fifo_1kx16_bb.v

  • Committer: Bazaar Package Importer
  • Author(s): Kamal Mostafa
  • Date: 2010-03-13 07:46:01 UTC
  • mfrom: (2.1.2 sid)
  • Revision ID: james.westby@ubuntu.com-20100313074601-zjsa893a87bozyh7
Tags: 3.2.2.dfsg-1ubuntu1
* Fix build for Ubuntu lucid (LP: #260406)
  - add binary package dep for libusrp0, libusrp2-0: adduser
  - debian/rules clean: remove pre-built Qt moc files

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
// megafunction wizard: %FIFO%VBB%
 
2
// GENERATION: STANDARD
 
3
// VERSION: WM1.0
 
4
// MODULE: scfifo 
 
5
 
 
6
// ============================================================
 
7
// File Name: fifo_1kx16.v
 
8
// Megafunction Name(s):
 
9
//                      scfifo
 
10
// ============================================================
 
11
// ************************************************************
 
12
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
 
13
//
 
14
// 5.1 Build 213 01/19/2006 SP 1 SJ Web Edition
 
15
// ************************************************************
 
16
 
 
17
//Copyright (C) 1991-2006 Altera Corporation
 
18
//Your use of Altera Corporation's design tools, logic functions 
 
19
//and other software and tools, and its AMPP partner logic 
 
20
//functions, and any output files any of the foregoing 
 
21
//(including device programming or simulation files), and any 
 
22
//associated documentation or information are expressly subject 
 
23
//to the terms and conditions of the Altera Program License 
 
24
//Subscription Agreement, Altera MegaCore Function License 
 
25
//Agreement, or other applicable license agreement, including, 
 
26
//without limitation, that your use is for the sole purpose of 
 
27
//programming logic devices manufactured by Altera and sold by 
 
28
//Altera or its authorized distributors.  Please refer to the 
 
29
//applicable agreement for further details.
 
30
 
 
31
module fifo_1kx16 (
 
32
        aclr,
 
33
        clock,
 
34
        data,
 
35
        rdreq,
 
36
        wrreq,
 
37
        almost_empty,
 
38
        empty,
 
39
        full,
 
40
        q,
 
41
        usedw);
 
42
 
 
43
        input     aclr;
 
44
        input     clock;
 
45
        input   [15:0]  data;
 
46
        input     rdreq;
 
47
        input     wrreq;
 
48
        output    almost_empty;
 
49
        output    empty;
 
50
        output    full;
 
51
        output  [15:0]  q;
 
52
        output  [9:0]  usedw;
 
53
 
 
54
endmodule
 
55
 
 
56
// ============================================================
 
57
// CNX file retrieval info
 
58
// ============================================================
 
59
// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "1"
 
60
// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "504"
 
61
// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
 
62
// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
 
63
// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
 
64
// Retrieval info: PRIVATE: Clock NUMERIC "0"
 
65
// Retrieval info: PRIVATE: Depth NUMERIC "1024"
 
66
// Retrieval info: PRIVATE: Empty NUMERIC "1"
 
67
// Retrieval info: PRIVATE: Full NUMERIC "1"
 
68
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
 
69
// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
 
70
// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"
 
71
// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
 
72
// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
 
73
// Retrieval info: PRIVATE: Optimize NUMERIC "2"
 
74
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2"
 
75
// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
 
76
// Retrieval info: PRIVATE: UsedW NUMERIC "1"
 
77
// Retrieval info: PRIVATE: Width NUMERIC "16"
 
78
// Retrieval info: PRIVATE: dc_aclr NUMERIC "0"
 
79
// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
 
80
// Retrieval info: PRIVATE: rsFull NUMERIC "0"
 
81
// Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
 
82
// Retrieval info: PRIVATE: sc_aclr NUMERIC "1"
 
83
// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
 
84
// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
 
85
// Retrieval info: PRIVATE: wsFull NUMERIC "1"
 
86
// Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
 
87
// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
 
88
// Retrieval info: CONSTANT: ALMOST_EMPTY_VALUE NUMERIC "504"
 
89
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
 
90
// Retrieval info: CONSTANT: LPM_HINT STRING "RAM_BLOCK_TYPE=M4K"
 
91
// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "1024"
 
92
// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"
 
93
// Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"
 
94
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"
 
95
// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "10"
 
96
// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
 
97
// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
 
98
// Retrieval info: CONSTANT: USE_EAB STRING "ON"
 
99
// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL aclr
 
100
// Retrieval info: USED_PORT: almost_empty 0 0 0 0 OUTPUT NODEFVAL almost_empty
 
101
// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
 
102
// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]
 
103
// Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty
 
104
// Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full
 
105
// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]
 
106
// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
 
107
// Retrieval info: USED_PORT: usedw 0 0 10 0 OUTPUT NODEFVAL usedw[9..0]
 
108
// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
 
109
// Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0
 
110
// Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0
 
111
// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
 
112
// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
 
113
// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
 
114
// Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0
 
115
// Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0
 
116
// Retrieval info: CONNECT: usedw 0 0 10 0 @usedw 0 0 10 0
 
117
// Retrieval info: CONNECT: almost_empty 0 0 0 0 @almost_empty 0 0 0 0
 
118
// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
 
119
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
 
120
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.v TRUE
 
121
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.inc TRUE
 
122
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.cmp TRUE
 
123
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.bsf TRUE FALSE
 
124
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_inst.v TRUE
 
125
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_bb.v TRUE
 
126
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_waveforms.html FALSE
 
127
// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_wave*.jpg FALSE