~ubuntu-branches/ubuntu/wily/geany/wily

« back to all changes in this revision

Viewing changes to data/filetypes.javascript

  • Committer: Package Import Robot
  • Author(s): Chow Loong Jin
  • Date: 2011-12-10 07:43:26 UTC
  • mfrom: (3.3.7 sid)
  • Revision ID: package-import@ubuntu.com-20111210074326-s8yqbew5i20h33tf
Tags: 0.21-1ubuntu1
* Merge from Debian Unstable, remaining changes:
  - debian/patches/20_use_evince_viewer.patch:
     + use evince as viewer for pdf and dvi files
  - debian/patches/20_use_x_terminal_emulator.patch:
     + use x-terminal-emulator as terminal
  - debian/control
     + Add breaks on geany-plugins-common << 0.20
* Also fixes bugs:
  - Filter for MATLAB/Octave files filters everythign (LP: 885505)

Show diffs side-by-side

added added

removed removed

Lines of Context:
26
26
 
27
27
[keywords]
28
28
# all items must be in one line
29
 
primary=break case catch const continue delete else eval false finally for function if in try instanceof isFinite isNaN NaN new null return switch this throw true typeof undefined var while with default let
30
 
secondary=Object Function Array prototype
 
29
primary=break case catch const continue default delete do each else false finally for function get if in Infinity instanceof let NaN new null return set switch this throw true try typeof undefined var void while with yield
 
30
secondary=Array Boolean Date Function Math Number Object String RegExp EvalError Error RangeError ReferenceError SyntaxError TypeError URIError prototype decodeURI decodeURIComponent encodeURI encodeURIComponent eval isFinite isNaN parseFloat parseInt
31
31
 
32
32
[settings]
33
33
# default extension used when saving files
36
36
# the following characters are these which a "word" can contains, see documentation
37
37
#wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
38
38
 
39
 
# if only single comment char is supported like # in this file, leave comment_close blank
40
 
comment_open=//
41
 
comment_close=
 
39
# single comments, like # in this file
 
40
comment_single=//
 
41
# multiline comments
 
42
comment_open=/*
 
43
comment_close=*/
42
44
 
43
45
# set to false if a comment character/string should start at column 0 of a line, true uses any
44
46
# indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
51
53
# context action command (please see Geany's main documentation for details)
52
54
context_action_cmd=
53
55
 
 
56
[indentation]
 
57
#width=4
 
58
# 0 is spaces, 1 is tabs, 2 is tab & spaces
 
59
#type=1
 
60
 
54
61
[build_settings]
55
62
# %f will be replaced by the complete filename
56
63
# %e will be replaced by the filename without extension