~ubuntu-branches/ubuntu/natty/geany/natty

« back to all changes in this revision

Viewing changes to data/filetypes.verilog

  • Committer: Bazaar Package Importer
  • Author(s): Chow Loong Jin
  • Date: 2010-08-07 03:23:12 UTC
  • mfrom: (1.4.3 upstream)
  • mto: This revision was merged to the branch mainline in revision 22.
  • Revision ID: james.westby@ubuntu.com-20100807032312-ot70ac9d50cn79we
Tags: upstream-0.19
ImportĀ upstreamĀ versionĀ 0.19

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
# For complete documentation of this file, please see Geany's main documentation
 
2
[styling]
 
3
# foreground;background;bold;italic
 
4
default=default
 
5
comment=comment
 
6
comment_line=comment
 
7
comment_line_bang=comment
 
8
number=number
 
9
word=word
 
10
word2=word2
 
11
word3=0x5ea01f;;true
 
12
string=string
 
13
preprocessor=preprocessor
 
14
operator=operator
 
15
identifier=default
 
16
stringeol=stringeol
 
17
userword=type
 
18
 
 
19
[keywords]
 
20
# all items must be in one line
 
21
word=always and assign attribute begin buf bufif0 bufif1 case casex casez cmos deassign default defparam disable edge else end endattribute endcase endfunction endmodule endprimitive endspecify endtable endtask event for force forever fork function highz0 highz1 if ifnone initial join medium module large macromodule nand negedge nmos nor not notif0 notif1 or parameter pmos posedge primitive pull0 pull1 pulldown pullup rcmos realtime release repeat rnmos rpmos rtran rtranif0 rtranif1 scalared signed small specify specparam strength strong0 strong1 supply0 supply1 table task tran tranif0 tranif1 tri tri0 tri1 triand trior trireg unsigned vectored wait wand weak0 weak1 while wor xnor xor @
 
22
word2=$display $write $fdisplay $fwrite $strobe $fstrobe $monitor $fmonitor $time $realtime $finish $stop $setup $hold $width $setuphold $readmemb $readmemh $sreadmemb $sreadmemh $getpattern $history $save $restart $incsave $shm_open $shm_probe $shm_close $scale $showscopes $showvars
 
23
word3=real integer time reg wire input output inout
 
24
 
 
25
[settings]
 
26
# default extension used when saving files
 
27
extension=v
 
28
 
 
29
# the following characters are these which a "word" can contains, see documentation
 
30
#wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
 
31
 
 
32
# if only single comment char is supported like # in this file, leave comment_close blank
 
33
comment_open=/*
 
34
comment_close=*/
 
35
 
 
36
# set to false if a comment character/string should start at column 0 of a line, true uses any
 
37
# indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
 
38
        #command_example();
 
39
# setting to false would generate this
 
40
#       command_example();
 
41
# This setting works only for single line comments
 
42
comment_use_indent=true
 
43
 
 
44
# context action command (please see Geany's main documentation for details)
 
45
context_action_cmd=
 
46
 
 
47