~ubuntu-branches/ubuntu/raring/wxwidgets2.8/raring

« back to all changes in this revision

Viewing changes to wxPython/wx/tools/Editra/scripts/i18n/Editra_oc.po

  • Committer: Package Import Robot
  • Author(s): Stéphane Graber
  • Date: 2012-01-07 13:59:25 UTC
  • mfrom: (1.1.9) (5.1.10 sid)
  • Revision ID: package-import@ubuntu.com-20120107135925-2601miy9ullcon9j
Tags: 2.8.12.1-6ubuntu1
* Resync from Debian, changes that were kept:
  - debian/rules: re-enable mediactrl. This allows libwx_gtk2u_media-2.8 to be
    built, as this is required by some applications (LP: #632984)
  - debian/control: Build-dep on libxt-dev for mediactrl.
  - Patches
    + fix-bashism-in-example
* Add conflict on python-wxgtk2.8 (<< 2.8.12.1-6ubuntu1~) to python-wxversion
  to guarantee upgrade ordering when moving from pycentral to dh_python2.

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
# Occitan (post 1500) translation for editra
 
2
# Copyright (c) 2010 Rosetta Contributors and Canonical Ltd 2010
 
3
# This file is distributed under the same license as the editra package.
 
4
# FIRST AUTHOR <EMAIL@ADDRESS>, 2010.
 
5
#
 
6
msgid ""
 
7
msgstr ""
 
8
"Project-Id-Version: editra\n"
 
9
"Report-Msgid-Bugs-To: \n"
 
10
"POT-Creation-Date: 2010-12-05 17:02-0600\n"
 
11
"PO-Revision-Date: 2010-10-24 17:47+0000\n"
 
12
"Last-Translator: Cédric VALMARY (Tot en òc) <cvalmary@yahoo.fr>\n"
 
13
"Language-Team: Occitan (post 1500) <oc@li.org>\n"
 
14
"MIME-Version: 1.0\n"
 
15
"Content-Type: text/plain; charset=UTF-8\n"
 
16
"Content-Transfer-Encoding: 8bit\n"
 
17
"X-Launchpad-Export-Date: 2011-05-21 18:52+0000\n"
 
18
"X-Generator: Launchpad (build 13085)\n"
 
19
 
 
20
#: ../../src/ed_search.py:429
 
21
#, python-format
 
22
msgid "\"%s\" was not found"
 
23
msgstr ""
 
24
 
 
25
#: ../../src/ed_search.py:638
 
26
#, python-format
 
27
msgid "%d matches were replaced."
 
28
msgstr ""
 
29
 
 
30
#: ../../src/util.py:369
 
31
#, python-format
 
32
msgid "%s Source File"
 
33
msgstr ""
 
34
 
 
35
#: ../../src/ed_main.py:609
 
36
#, python-format
 
37
msgid ""
 
38
"%s could not be found.\n"
 
39
"Perhaps it's been moved or deleted."
 
40
msgstr ""
 
41
 
 
42
#: ../../src/ed_stc.py:1794
 
43
#, python-format
 
44
msgid "%s does not exist"
 
45
msgstr ""
 
46
 
 
47
#: ../../src/ed_editv.py:442
 
48
#, python-format
 
49
msgid ""
 
50
"%s has been deleted since its last save point.\n"
 
51
"\n"
 
52
"Would you like to save it again?"
 
53
msgstr ""
 
54
 
 
55
#: ../../src/ed_editv.py:462
 
56
#, python-format
 
57
msgid ""
 
58
"%s has been modified by another application.\n"
 
59
"\n"
 
60
"Would you like to reload it?"
 
61
msgstr ""
 
62
 
 
63
#: ../../src/ed_menu.py:829
 
64
msgid "&About..."
 
65
msgstr "&A prepaus..."
 
66
 
 
67
#: ../../src/ed_menu.py:521
 
68
msgid "&Close Tab"
 
69
msgstr "&Tampar l'onglet"
 
70
 
 
71
#: ../../src/ed_menu.py:581
 
72
msgid "&Copy"
 
73
msgstr "&Copiar"
 
74
 
 
75
#: ../../src/ed_menu.py:645
 
76
msgid "&Edit"
 
77
msgstr "&Editar"
 
78
 
 
79
#: ../../src/ed_menu.py:567
 
80
msgid "&File"
 
81
msgstr "&Fichièr"
 
82
 
 
83
#: ../../src/ed_menu.py:629
 
84
msgid "&Find"
 
85
msgstr "&Recercar"
 
86
 
 
87
#: ../../src/ed_menu.py:719
 
88
msgid "&Font"
 
89
msgstr "&Poliça"
 
90
 
 
91
#: ../../src/ed_menu.py:689
 
92
msgid "&Goto Line"
 
93
msgstr "Anar a la &linha"
 
94
 
 
95
#: ../../src/ed_main.py:150 ../../src/ed_menu.py:843
 
96
msgid "&Help"
 
97
msgstr "&Ajuda"
 
98
 
 
99
#: ../../src/ed_menu.py:511
 
100
msgid "&New Tab"
 
101
msgstr "Onglet &novèl"
 
102
 
 
103
#: ../../src/ed_menu.py:516
 
104
msgid "&Open"
 
105
msgstr "&Dobrir"
 
106
 
 
107
#: ../../src/ed_menu.py:583
 
108
msgid "&Paste"
 
109
msgstr "&Pegar"
 
110
 
 
111
#: ../../src/ed_menu.py:562
 
112
msgid "&Print"
 
113
msgstr "Estam&par"
 
114
 
 
115
#: ../../src/ed_menu.py:632
 
116
msgid "&Quick Find"
 
117
msgstr ""
 
118
 
 
119
#: ../../src/ed_menu.py:528
 
120
msgid "&Save"
 
121
msgstr "&Enregistrar"
 
122
 
 
123
#: ../../src/ed_menu.py:793
 
124
msgid "&Settings"
 
125
msgstr "&Configuracion"
 
126
 
 
127
#: ../../src/ed_menu.py:706
 
128
msgid "&Toolbar"
 
129
msgstr "Barra d'ais&inas"
 
130
 
 
131
#: ../../src/ed_menu.py:820
 
132
msgid "&Tools"
 
133
msgstr "&Aisinas"
 
134
 
 
135
#: ../../src/ed_menu.py:576
 
136
msgid "&Undo"
 
137
msgstr "&Anullar"
 
138
 
 
139
#: ../../src/ed_menu.py:710
 
140
msgid "&View"
 
141
msgstr "Aficha&tge"
 
142
 
 
143
#: ../../plugins/Launch/launch/cfgdlg.py:306
 
144
msgid "**Alias**"
 
145
msgstr "**Aliàs**"
 
146
 
 
147
#: ../../plugins/Launch/launch/cfgdlg.py:306
 
148
msgid "**New Value**"
 
149
msgstr ""
 
150
 
 
151
#: ../../src/eclib/filterdlg.py:76
 
152
msgid "<< Remove"
 
153
msgstr "<< Levar"
 
154
 
 
155
#: ../../src/eclib/errdlg.py:322 ../../plugins/Launch/launch/launch.py:596
 
156
msgid "Abort"
 
157
msgstr "Abandonar"
 
158
 
 
159
#: ../../src/ed_menu.py:830
 
160
msgid "About"
 
161
msgstr "A prepaus"
 
162
 
 
163
#: ../../src/ed_cmdbar.py:761
 
164
#, python-format
 
165
msgid "Access Denied: %s"
 
166
msgstr "Accès refusat : %s"
 
167
 
 
168
#: ../../src/eclib/filterdlg.py:75
 
169
msgid "Add >>"
 
170
msgstr "Apondre >>"
 
171
 
 
172
#: ../../plugins/Launch/launch/cfgdlg.py:242
 
173
msgid "Add a new executable"
 
174
msgstr ""
 
175
 
 
176
#: ../../src/ed_menu.py:597
 
177
msgid "Add a new line after the current line"
 
178
msgstr ""
 
179
 
 
180
#: ../../src/ed_menu.py:599
 
181
msgid "Add a new line before the current line"
 
182
msgstr ""
 
183
 
 
184
#: ../../src/ed_menu.py:627
 
185
msgid "Add and remove bookmarks"
 
186
msgstr ""
 
187
 
 
188
#: ../../src/prefdlg.py:777
 
189
msgid "Adds extra scrolling room after last line"
 
190
msgstr ""
 
191
 
 
192
#: ../../src/prefdlg.py:197
 
193
msgid "Advanced"
 
194
msgstr "Avançat"
 
195
 
 
196
#: ../../plugins/Launch/launch/cfgdlg.py:527
 
197
msgid "Alias"
 
198
msgstr "Aliàs"
 
199
 
 
200
#: ../../src/ed_log.py:170 ../../src/ed_log.py:245
 
201
msgid "All"
 
202
msgstr "Totes"
 
203
 
 
204
#: ../../plugins/codebrowser/codebrowser/cbconfig.py:42
 
205
msgid "Alphabetically"
 
206
msgstr ""
 
207
 
 
208
#: ../../src/Editra.py:601
 
209
#, python-format
 
210
msgid ""
 
211
"An updated version of Editra is available\n"
 
212
"Would you like to download Editra %s now?"
 
213
msgstr ""
 
214
 
 
215
#: ../../src/prefdlg.py:763
 
216
msgid "AntiAliasing"
 
217
msgstr ""
 
218
 
 
219
#: ../../src/prefdlg.py:191
 
220
msgid "Appearance"
 
221
msgstr "Aparéncia"
 
222
 
 
223
#: ../../src/prefdlg.py:1768
 
224
msgid "Apply"
 
225
msgstr "Aplicar"
 
226
 
 
227
#: ../../src/eclib/filemgrdlg.py:167
 
228
#, python-format
 
229
msgid "Are you sure want to delete %s?"
 
230
msgstr ""
 
231
 
 
232
#: ../../src/plugdlg.py:1060
 
233
#, python-format
 
234
msgid ""
 
235
"Are you sure you want to uninstall %s?\n"
 
236
"This cannot be undone."
 
237
msgstr ""
 
238
 
 
239
#: ../../plugins/Launch/launch/launch.py:192
 
240
msgid "Arguments"
 
241
msgstr ""
 
242
 
 
243
#: ../../src/style_editor.py:607
 
244
msgid "Attributes"
 
245
msgstr "Atributs"
 
246
 
 
247
#: ../../plugins/Launch/launch/cfgdlg.py:416
 
248
msgid "Audible feedback when errors are detected"
 
249
msgstr ""
 
250
 
 
251
#: ../../src/ed_main.py:1251
 
252
msgid "Aui Pane Navigator"
 
253
msgstr ""
 
254
 
 
255
#: ../../src/plugdlg.py:948 ../../src/plugdlg.py:1129
 
256
#, python-format
 
257
msgid "Author: %s"
 
258
msgstr "Autor : %s"
 
259
 
 
260
#: ../../src/ed_menu.py:778 ../../src/prefdlg.py:944
 
261
msgid "Auto-Completion"
 
262
msgstr ""
 
263
 
 
264
#: ../../src/ed_menu.py:780 ../../src/prefdlg.py:954
 
265
msgid "Auto-Indent"
 
266
msgstr ""
 
267
 
 
268
#: ../../src/perspective.py:73
 
269
msgid "Automatic"
 
270
msgstr "Automatic"
 
271
 
 
272
#: ../../src/prefdlg.py:500
 
273
msgid "Automatically Backup Files"
 
274
msgstr ""
 
275
 
 
276
#: ../../plugins/Launch/launch/cfgdlg.py:413
 
277
msgid "Automatically clear buffer between runs"
 
278
msgstr ""
 
279
 
 
280
#: ../../src/prefdlg.py:532
 
281
msgid "Automatically reload files when changes are detected on disk"
 
282
msgstr ""
 
283
 
 
284
#: ../../src/perspective.py:74
 
285
msgid "Automatically save/use window state from last session"
 
286
msgstr ""
 
287
 
 
288
#: ../../src/prefdlg.py:740
 
289
msgid "Automatically trim whitespace on save"
 
290
msgstr ""
 
291
 
 
292
#: ../../src/style_editor.py:596 ../../plugins/Launch/launch/cfgdlg.py:436
 
293
msgid "Background"
 
294
msgstr "Rèire plan"
 
295
 
 
296
#: ../../src/prefdlg.py:746
 
297
msgid "Backspace Unindents"
 
298
msgstr ""
 
299
 
 
300
#: ../../src/prefdlg.py:506
 
301
msgid "Backup Path:"
 
302
msgstr ""
 
303
 
 
304
#: ../../src/prefdlg.py:503
 
305
msgid "Backup buffer to file periodically"
 
306
msgstr ""
 
307
 
 
308
#: ../../src/style_editor.py:171
 
309
msgid "Base new theme on existing one"
 
310
msgstr "Basar lo tèma novèl sus l'existent"
 
311
 
 
312
#: ../../src/prefdlg.py:1742
 
313
msgid "Binding"
 
314
msgstr "Ligason"
 
315
 
 
316
#: ../../src/prefdlg.py:2156
 
317
msgid "Black/White"
 
318
msgstr ""
 
319
 
 
320
#: ../../plugins/filebrowser/filebrowser/browser.py:417
 
321
msgid "Bookmark Selected Path(s)"
 
322
msgstr ""
 
323
 
 
324
#: ../../src/ed_menu.py:626
 
325
msgid "Bookmarks"
 
326
msgstr "Marcapaginas"
 
327
 
 
328
#: ../../src/ed_menu.py:783 ../../src/prefdlg.py:924
 
329
msgid "Bracket Highlighting"
 
330
msgstr ""
 
331
 
 
332
#: ../../plugins/Launch/launch/cfgdlg.py:546
 
333
msgid "Browse"
 
334
msgstr "Percórrer"
 
335
 
 
336
#: ../../src/ed_menu.py:838
 
337
msgid "Bug Tracker..."
 
338
msgstr ""
 
339
 
 
340
#: ../../src/ed_cmdbar.py:559
 
341
#, python-format
 
342
msgid "Can't change directory to: %s"
 
343
msgstr ""
 
344
 
 
345
#: ../../src/ed_search.py:1248 ../../src/style_editor.py:131
 
346
#: ../../src/updater.py:568
 
347
msgid "Cancel"
 
348
msgstr "Anullar"
 
349
 
 
350
#: ../../src/updater.py:645
 
351
msgid "Canceled"
 
352
msgstr "Anullat"
 
353
 
 
354
#: ../../src/ed_statbar.py:186
 
355
msgid "Change Encoding"
 
356
msgstr ""
 
357
 
 
358
#: ../../src/ed_menu.py:720
 
359
msgid "Change Font Settings"
 
360
msgstr ""
 
361
 
 
362
#: ../../src/ed_statbar.py:60 ../../src/ed_statbar.py:63
 
363
#: ../../src/ed_statbar.py:66
 
364
#, python-format
 
365
msgid "Change line endings to %s"
 
366
msgstr ""
 
367
 
 
368
#: ../../src/ed_statbar.py:185
 
369
msgid "Change the encoding of the current document."
 
370
msgstr ""
 
371
 
 
372
#: ../../src/perspective.py:130
 
373
#, python-format
 
374
msgid "Change view to \"%s\""
 
375
msgstr ""
 
376
 
 
377
#: ../../src/prefdlg.py:282
 
378
msgid "Changes made in this dialog are saved in your current profile. Some Items such as Language require the program to be restarted before taking effect."
 
379
msgstr ""
 
380
 
 
381
#: ../../src/plugdlg.py:232
 
382
msgid "Changes will take affect once the program has been restarted"
 
383
msgstr ""
 
384
 
 
385
#: ../../src/prefdlg.py:1534
 
386
msgid "Check"
 
387
msgstr "Verificar"
 
388
 
 
389
#: ../../src/prefdlg.py:412
 
390
msgid "Check for updates on startup"
 
391
msgstr ""
 
392
 
 
393
#: ../../src/prefdlg.py:527
 
394
msgid "Check if on disk file has been modified by others"
 
395
msgstr ""
 
396
 
 
397
#: ../../src/prefdlg.py:561
 
398
msgid "Check spelling while typing"
 
399
msgstr ""
 
400
 
 
401
#: ../../src/eclib/finddlg.py:790
 
402
msgid "Choose Folder"
 
403
msgstr "Causir un dorsièr"
 
404
 
 
405
#: ../../src/eclib/finddlg.py:1118
 
406
msgid "Choose Search Folder"
 
407
msgstr ""
 
408
 
 
409
#: ../../src/ed_main.py:698
 
410
msgid "Choose a Save Location"
 
411
msgstr ""
 
412
 
 
413
#: ../../src/ed_pages.py:175
 
414
msgid "Choose an Encoding"
 
415
msgstr ""
 
416
 
 
417
#: ../../plugins/Launch/launch/cfgdlg.py:555
 
418
msgid "Choose and executable"
 
419
msgstr ""
 
420
 
 
421
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:50
 
422
msgid "Class Definitions"
 
423
msgstr ""
 
424
 
 
425
#: ../../src/ed_log.py:140 ../../src/ed_search.py:1257
 
426
#: ../../plugins/Launch/launch/launch.py:210
 
427
#: ../../plugins/PyShell/PyShell/__init__.py:114
 
428
msgid "Clear"
 
429
msgstr "Escafar"
 
430
 
 
431
#: ../../plugins/Launch/launch/cfgdlg.py:524
 
432
msgid "Click on an item to edit"
 
433
msgstr ""
 
434
 
 
435
#: ../../src/ed_editv.py:230
 
436
#, python-format
 
437
msgid "Close \"%s\""
 
438
msgstr "Tampar \"%s\""
 
439
 
 
440
#: ../../src/ed_editv.py:232
 
441
msgid "Close All"
 
442
msgstr "Tampar tot"
 
443
 
 
444
#: ../../src/ed_menu.py:525
 
445
msgid "Close All Tabs"
 
446
msgstr "Tampar totes los onglets"
 
447
 
 
448
#: ../../src/ed_menu.py:522
 
449
msgid "Close Current Tab"
 
450
msgstr "Tampar l'onglet corrent"
 
451
 
 
452
#: ../../src/ed_editv.py:231
 
453
msgid "Close Other Tabs"
 
454
msgstr "Tampar los autres onglets"
 
455
 
 
456
#: ../../src/ed_menu.py:524
 
457
msgid "Close Window"
 
458
msgstr "Tampar la fenèstra"
 
459
 
 
460
#: ../../src/ed_menu.py:526
 
461
msgid "Close all open tabs"
 
462
msgstr ""
 
463
 
 
464
#: ../../src/ed_menu.py:524
 
465
msgid "Close the current window"
 
466
msgstr "Tampar la fenèstra activa"
 
467
 
 
468
#: ../../src/prefdlg.py:676
 
469
msgid "Code"
 
470
msgstr "Còde"
 
471
 
 
472
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:90
 
473
msgid "Code Browser"
 
474
msgstr "Navigar dins lo còde"
 
475
 
 
476
#: ../../src/ed_menu.py:680 ../../src/ed_menu.py:785 ../../src/prefdlg.py:926
 
477
msgid "Code Folding"
 
478
msgstr ""
 
479
 
 
480
#: ../../src/ed_menu.py:681
 
481
msgid "Code folding toggle actions"
 
482
msgstr ""
 
483
 
 
484
#: ../../plugins/codebrowser/codebrowser/__init__.py:48
 
485
#: ../../plugins/codebrowser/codebrowser/__init__.py:95
 
486
msgid "CodeBrowser"
 
487
msgstr ""
 
488
 
 
489
#: ../../src/style_editor.py:579
 
490
msgid "Color"
 
491
msgstr "Color"
 
492
 
 
493
#: ../../src/ed_menu.py:788
 
494
msgid "Color Highlight Code Syntax"
 
495
msgstr ""
 
496
 
 
497
#: ../../src/prefdlg.py:1100 ../../plugins/PyShell/PyShell/__init__.py:109
 
498
msgid "Color Scheme"
 
499
msgstr "Jòc de colors"
 
500
 
 
501
#: ../../src/prefdlg.py:2158
 
502
msgid "Colour/Default"
 
503
msgstr "Colour/Defaut"
 
504
 
 
505
#: ../../src/prefdlg.py:2157
 
506
msgid "Colour/White"
 
507
msgstr ""
 
508
 
 
509
#: ../../src/ed_menu.py:592
 
510
msgid "Column Mode"
 
511
msgstr ""
 
512
 
 
513
#: ../../src/ed_cmdbar.py:407
 
514
msgid "Command"
 
515
msgstr "Comanda"
 
516
 
 
517
#: ../../src/ed_menu.py:620
 
518
msgid "Commands that affect an entire line"
 
519
msgstr ""
 
520
 
 
521
#: ../../src/prefdlg.py:1344
 
522
msgid "Configuration"
 
523
msgstr "Configuracion"
 
524
 
 
525
#: ../../src/plugdlg.py:192
 
526
msgid "Configuration Changes Made"
 
527
msgstr ""
 
528
 
 
529
#: ../../src/plugdlg.py:119 ../../src/plugdlg.py:956
 
530
msgid "Configure"
 
531
msgstr "Configurar"
 
532
 
 
533
#: ../../src/ed_menu.py:559
 
534
msgid "Configure Printer"
 
535
msgstr ""
 
536
 
 
537
#: ../../src/ed_menu.py:734
 
538
msgid "Convert selected text to all lowercase letters"
 
539
msgstr ""
 
540
 
 
541
#: ../../src/ed_menu.py:732
 
542
msgid "Convert selected text to all uppercase letters"
 
543
msgstr ""
 
544
 
 
545
#: ../../src/ed_menu.py:746
 
546
msgid "Convert spaces to tabs in selected/all text"
 
547
msgstr ""
 
548
 
 
549
#: ../../src/ed_menu.py:748
 
550
msgid "Convert tabs to spaces in selected/all text"
 
551
msgstr ""
 
552
 
 
553
#: ../../src/ed_editv.py:363 ../../src/ed_toolbar.py:85
 
554
msgid "Copy"
 
555
msgstr "Copiar"
 
556
 
 
557
#: ../../src/ed_menu.py:606
 
558
msgid "Copy Current Line"
 
559
msgstr ""
 
560
 
 
561
#: ../../src/ed_editv.py:234
 
562
msgid "Copy Full Path"
 
563
msgstr ""
 
564
 
 
565
#: ../../src/ed_menu.py:605
 
566
msgid "Copy Line"
 
567
msgstr ""
 
568
 
 
569
#: ../../src/ed_menu.py:582 ../../src/ed_toolbar.py:86
 
570
msgid "Copy Selected Text to Clipboard"
 
571
msgstr ""
 
572
 
 
573
#: ../../src/ed_main.py:1562
 
574
msgid "Copyright"
 
575
msgstr "Dreches d'autor"
 
576
 
 
577
#: ../../src/eclib/finddlg.py:845
 
578
msgid "Count"
 
579
msgstr "Compte"
 
580
 
 
581
#: ../../plugins/filebrowser/filebrowser/browser.py:429
 
582
#: ../../plugins/filebrowser/filebrowser/browser.py:548
 
583
#, python-format
 
584
msgid "Create Archive of \"%s\""
 
585
msgstr ""
 
586
 
 
587
#: ../../src/eclib/infodlg.py:193
 
588
msgid "Created"
 
589
msgstr "Creat"
 
590
 
 
591
#: ../../src/ed_menu.py:579
 
592
msgid "Cu&t"
 
593
msgstr "Copa&r"
 
594
 
 
595
#: ../../src/eclib/finddlg.py:713
 
596
#, fuzzy
 
597
msgid "Current Directory"
 
598
msgstr "Document actual"
 
599
 
 
600
#: ../../src/eclib/finddlg.py:712
 
601
msgid "Current Document"
 
602
msgstr "Document actual"
 
603
 
 
604
#: ../../src/ed_cmdbar.py:116
 
605
msgid "Customize"
 
606
msgstr "Personalizar"
 
607
 
 
608
#: ../../src/ed_main.py:1450
 
609
msgid "Customize Menu"
 
610
msgstr ""
 
611
 
 
612
#: ../../src/ed_menu.py:471
 
613
msgid "Customize the items shown in this menu."
 
614
msgstr ""
 
615
 
 
616
#: ../../src/ed_menu.py:470
 
617
msgid "Customize..."
 
618
msgstr ""
 
619
 
 
620
#: ../../src/ed_editv.py:362 ../../src/ed_toolbar.py:83
 
621
msgid "Cut"
 
622
msgstr "Copar"
 
623
 
 
624
#: ../../src/ed_menu.py:602
 
625
msgid "Cut Current Line"
 
626
msgstr ""
 
627
 
 
628
#: ../../src/ed_menu.py:601
 
629
msgid "Cut Line"
 
630
msgstr "Copar la linha"
 
631
 
 
632
#: ../../src/ed_menu.py:580 ../../src/ed_toolbar.py:84
 
633
msgid "Cut Selected Text from File"
 
634
msgstr ""
 
635
 
 
636
#: ../../src/ed_menu.py:587
 
637
msgid "Cycle Clipboard"
 
638
msgstr ""
 
639
 
 
640
#: ../../src/ed_menu.py:588
 
641
msgid "Cycle through recent clipboard text"
 
642
msgstr ""
 
643
 
 
644
#: ../../src/ed_search.py:62 ../../src/ed_search.py:66
 
645
msgid "DECODING ERROR"
 
646
msgstr ""
 
647
 
 
648
#: ../../plugins/Launch/launch/cfgdlg.py:228
 
649
msgid "Default"
 
650
msgstr "Per defaut"
 
651
 
 
652
#: ../../src/prefdlg.py:758
 
653
msgid "Default EOL Mode"
 
654
msgstr ""
 
655
 
 
656
#: ../../src/prefdlg.py:917
 
657
msgid "Default Lexer"
 
658
msgstr ""
 
659
 
 
660
#: ../../src/prefdlg.py:1202
 
661
msgid "Default Perspective"
 
662
msgstr ""
 
663
 
 
664
#: ../../src/prefdlg.py:915
 
665
msgid "Default highlighing for new documents"
 
666
msgstr ""
 
667
 
 
668
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:50
 
669
msgid "Defines"
 
670
msgstr ""
 
671
 
 
672
#: ../../src/prefdlg.py:1711
 
673
msgid "Delete"
 
674
msgstr "Suprimir"
 
675
 
 
676
#: ../../src/eclib/filemgrdlg.py:175
 
677
msgid "Delete Error"
 
678
msgstr ""
 
679
 
 
680
#: ../../src/eclib/filemgrdlg.py:168
 
681
msgid "Delete File?"
 
682
msgstr ""
 
683
 
 
684
#: ../../src/ed_menu.py:603
 
685
msgid "Delete Line"
 
686
msgstr "Suprimir una linha"
 
687
 
 
688
#: ../../src/perspective.py:271
 
689
msgid "Delete Perspective"
 
690
msgstr ""
 
691
 
 
692
#: ../../src/perspective.py:71
 
693
msgid "Delete Saved View"
 
694
msgstr ""
 
695
 
 
696
#: ../../src/ed_menu.py:604
 
697
msgid "Delete the selected line(s)"
 
698
msgstr ""
 
699
 
 
700
#: ../../plugins/filebrowser/filebrowser/browser.py:488
 
701
#: ../../plugins/filebrowser/filebrowser/browser.py:657
 
702
msgid "Desktop"
 
703
msgstr "Burèu"
 
704
 
 
705
#: ../../src/prefdlg.py:568
 
706
msgid "Dictionary"
 
707
msgstr "Diccionari"
 
708
 
 
709
#: ../../src/eclib/finddlg.py:802
 
710
msgid "Direction"
 
711
msgstr "Direccion"
 
712
 
 
713
#: ../../src/prefdlg.py:399
 
714
msgid "Disable Error Reporter"
 
715
msgstr ""
 
716
 
 
717
#: ../../src/prefdlg.py:1244
 
718
msgid "Display Font"
 
719
msgstr ""
 
720
 
 
721
#: ../../src/plugdlg.py:179
 
722
msgid "Do you wish to exit?"
 
723
msgstr ""
 
724
 
 
725
#: ../../src/ed_pages.py:988
 
726
#, python-format
 
727
msgid ""
 
728
"Do you wish to open all %d files in this directory?\n"
 
729
"\n"
 
730
"Warning: opening many files at once may cause the editor to temporarily  freeze."
 
731
msgstr ""
 
732
 
 
733
#: ../../src/prefdlg.py:193
 
734
msgid "Document"
 
735
msgstr "Document"
 
736
 
 
737
#: ../../src/eclib/finddlg.py:806
 
738
msgid "Down"
 
739
msgstr ""
 
740
 
 
741
#: ../../src/plugdlg.py:120 ../../src/plugdlg.py:460 ../../src/plugdlg.py:1108
 
742
#: ../../src/prefdlg.py:1529
 
743
msgid "Download"
 
744
msgstr "Telecargar"
 
745
 
 
746
#: ../../src/plugdlg.py:483
 
747
msgid "Downloaded"
 
748
msgstr "Telecargat"
 
749
 
 
750
#: ../../src/plugdlg.py:595 ../../src/updater.py:604
 
751
msgid "Downloading"
 
752
msgstr "Telecargament en cors"
 
753
 
 
754
#: ../../src/updater.py:567
 
755
#, python-format
 
756
msgid "Downloading To: %s"
 
757
msgstr ""
 
758
 
 
759
#: ../../src/Editra.py:607 ../../src/prefdlg.py:1570
 
760
msgid "Downloading Update"
 
761
msgstr ""
 
762
 
 
763
#: ../../src/updater.py:565
 
764
#, python-format
 
765
msgid "Downloading: %s"
 
766
msgstr ""
 
767
 
 
768
#: ../../src/plugdlg.py:178
 
769
msgid "Downloads are incomplete"
 
770
msgstr ""
 
771
 
 
772
#: ../../plugins/filebrowser/filebrowser/browser.py:428
 
773
msgid "Duplicate"
 
774
msgstr "Duplicar"
 
775
 
 
776
#: ../../src/ed_menu.py:607
 
777
msgid "Duplicate Line"
 
778
msgstr ""
 
779
 
 
780
#: ../../src/ed_menu.py:608
 
781
msgid "Duplicate the current line"
 
782
msgstr ""
 
783
 
 
784
#: ../../src/ed_menu.py:564
 
785
msgid "E&xit"
 
786
msgstr "&Quitar"
 
787
 
 
788
#: ../../src/ed_menu.py:765
 
789
msgid "EOL Mode"
 
790
msgstr ""
 
791
 
 
792
#: ../../src/ed_main.py:674
 
793
#, python-format
 
794
msgid "ERROR: %s"
 
795
msgstr "ERROR : %s"
 
796
 
 
797
#: ../../src/ed_main.py:714
 
798
#, python-format
 
799
msgid "ERROR: Failed to save %s"
 
800
msgstr ""
 
801
 
 
802
#: ../../src/prefdlg.py:928
 
803
msgid "Edge Guide"
 
804
msgstr ""
 
805
 
 
806
#: ../../plugins/filebrowser/filebrowser/browser.py:413
 
807
msgid "Edit"
 
808
msgstr "Modificar"
 
809
 
 
810
#: ../../src/ed_menu.py:642
 
811
msgid "Edit Preferences / Settings"
 
812
msgstr ""
 
813
 
 
814
#: ../../src/ed_menu.py:809
 
815
msgid "Edit the way syntax is highlighted"
 
816
msgstr ""
 
817
 
 
818
#: ../../src/ed_menu.py:673
 
819
msgid "Editor"
 
820
msgstr "Editor"
 
821
 
 
822
#: ../../src/ed_menu.py:804
 
823
msgid "Editor Command"
 
824
msgstr ""
 
825
 
 
826
#: ../../src/prefdlg.py:383
 
827
msgid "Editor Mode"
 
828
msgstr ""
 
829
 
 
830
#: ../../src/ed_log.py:79
 
831
msgid "Editra Log"
 
832
msgstr ""
 
833
 
 
834
#: ../../src/ed_mdlg.py:85
 
835
#, python-format
 
836
msgid ""
 
837
"Editra could not open %(filename)s\n"
 
838
"\n"
 
839
"Error:\n"
 
840
"%(errormsg)s"
 
841
msgstr ""
 
842
 
 
843
#: ../../src/ed_main.py:1552
 
844
msgid "Editra is a programmers text editor."
 
845
msgstr ""
 
846
 
 
847
#: ../../src/ed_menu.py:837
 
848
msgid "Editra translations project"
 
849
msgstr ""
 
850
 
 
851
#: ../../src/ed_main.py:439
 
852
msgid "Editra: Open"
 
853
msgstr ""
 
854
 
 
855
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:57
 
856
msgid "Elements"
 
857
msgstr ""
 
858
 
 
859
#: ../../src/ed_pages.py:377
 
860
msgid "Empty File"
 
861
msgstr ""
 
862
 
 
863
#: ../../src/plugdlg.py:949
 
864
msgid "Enable"
 
865
msgstr "Activar"
 
866
 
 
867
#: ../../src/prefdlg.py:956
 
868
msgid "Enable Vi Emulation"
 
869
msgstr ""
 
870
 
 
871
#: ../../src/ed_menu.py:593
 
872
msgid "Enable column edit mode."
 
873
msgstr ""
 
874
 
 
875
#: ../../src/prefdlg.py:578
 
876
msgid "Enchant Path"
 
877
msgstr ""
 
878
 
 
879
#: ../../src/prefdlg.py:494
 
880
msgid "Encoding to try when auto detection fails"
 
881
msgstr ""
 
882
 
 
883
#: ../../src/ed_menu.py:766
 
884
msgid "End of line character formatting"
 
885
msgstr ""
 
886
 
 
887
#: ../../src/eclib/colorsetter.py:82
 
888
msgid "Enter a hex color value"
 
889
msgstr "Entratz una valor de color en exadecimala"
 
890
 
 
891
#: ../../src/style_editor.py:260
 
892
msgid "Enter style sheet name"
 
893
msgstr ""
 
894
 
 
895
#: ../../src/prefdlg.py:1906
 
896
msgid "Enter the name of the new key profile"
 
897
msgstr ""
 
898
 
 
899
#: ../../src/eclib/finddlg.py:823
 
900
msgid "Enter wildcard shell patterns for matching files (*.txt)."
 
901
msgstr ""
 
902
 
 
903
#: ../../src/ed_editv.py:189 ../../src/plugdlg.py:838
 
904
msgid "Error"
 
905
msgstr "Error"
 
906
 
 
907
#: ../../src/ed_mdlg.py:87
 
908
msgid "Error Opening File"
 
909
msgstr ""
 
910
 
 
911
#: ../../src/ed_pages.py:327
 
912
msgid "Error Saving Session File"
 
913
msgstr ""
 
914
 
 
915
#: ../../plugins/Launch/launch/cfgdlg.py:446
 
916
msgid "Error Text"
 
917
msgstr "Tèxte d'error"
 
918
 
 
919
#: ../../src/eclib/errdlg.py:318
 
920
msgid "Error Traceback:"
 
921
msgstr "Traça de l'error :"
 
922
 
 
923
#: ../../src/ed_search.py:544 ../../src/ed_search.py:705
 
924
#, python-format
 
925
msgid ""
 
926
"Error in regular expression expansion.The replace action cannot be completed.\n"
 
927
"\n"
 
928
"Error Message: %s"
 
929
msgstr ""
 
930
 
 
931
#: ../../src/dev_tool.py:252
 
932
msgid ""
 
933
"Error: Something unexpected happend\n"
 
934
"Help improve Editra by clicking on Report Error\n"
 
935
"to send the Error Traceback shown below."
 
936
msgstr ""
 
937
 
 
938
#: ../../src/ed_main.py:1502
 
939
#, python-format
 
940
msgid "Error: Unable to open %s"
 
941
msgstr ""
 
942
 
 
943
#: ../../src/plugdlg.py:129
 
944
msgid "Errors"
 
945
msgstr "Errors"
 
946
 
 
947
#: ../../plugins/Launch/launch/cfgdlg.py:528
 
948
msgid "Executable Commands"
 
949
msgstr ""
 
950
 
 
951
#: ../../plugins/Launch/launch/cfgdlg.py:213
 
952
msgid "Executables"
 
953
msgstr ""
 
954
 
 
955
#: ../../plugins/Launch/launch/launch.py:749
 
956
msgid "Exit Code"
 
957
msgstr ""
 
958
 
 
959
#: ../../src/ed_menu.py:564
 
960
msgid "Exit the Program"
 
961
msgstr ""
 
962
 
 
963
#: ../../src/eclib/errdlg.py:323
 
964
msgid "Exit the application"
 
965
msgstr "Quitar l'aplicacion"
 
966
 
 
967
#: ../../src/style_editor.py:133
 
968
msgid "Export"
 
969
msgstr "Exportar"
 
970
 
 
971
#: ../../src/style_editor.py:261
 
972
msgid "Export Style Sheet"
 
973
msgstr ""
 
974
 
 
975
#: ../../src/prefdlg.py:947
 
976
msgid "Extended Auto-Comp"
 
977
msgstr ""
 
978
 
 
979
#: ../../src/prefdlg.py:2045
 
980
msgid "Extensions (space separated, no dots)"
 
981
msgstr ""
 
982
 
 
983
#: ../../src/ed_menu.py:769
 
984
msgid "F&ormat"
 
985
msgstr "F&ormat"
 
986
 
 
987
#: ../../src/updater.py:683
 
988
msgid "Failed"
 
989
msgstr "Fracassat"
 
990
 
 
991
#: ../../src/ed_print.py:112
 
992
msgid "Failed to create print preview"
 
993
msgstr ""
 
994
 
 
995
#: ../../src/plugdlg.py:840
 
996
#, python-format
 
997
msgid "Failed to install %d plugins"
 
998
msgstr ""
 
999
 
 
1000
#: ../../src/prefdlg.py:647
 
1001
msgid "Failed to load Enchant"
 
1002
msgstr ""
 
1003
 
 
1004
#: ../../src/ed_editv.py:187
 
1005
#, python-format
 
1006
msgid ""
 
1007
"Failed to reload %(filename)s:\n"
 
1008
"Error: %(errmsg)s"
 
1009
msgstr ""
 
1010
 
 
1011
#: ../../src/ed_main.py:1232
 
1012
#, python-format
 
1013
msgid "Failed to reload the file with: %(encoding)s"
 
1014
msgstr ""
 
1015
 
 
1016
#: ../../src/ed_mdlg.py:104
 
1017
#, python-format
 
1018
msgid ""
 
1019
"Failed to save file: %(filename)s\n"
 
1020
"\n"
 
1021
"Error:\n"
 
1022
"%(errormsg)s"
 
1023
msgstr ""
 
1024
 
 
1025
#: ../../src/ed_menu.py:839
 
1026
msgid "Feedback"
 
1027
msgstr "Vòstre vejaire"
 
1028
 
 
1029
#: ../../plugins/filebrowser/filebrowser/browser.py:232
 
1030
msgid "File Browser"
 
1031
msgstr "Navigador de fichièrs"
 
1032
 
 
1033
#: ../../src/eclib/finddlg.py:716
 
1034
msgid "File Filters:"
 
1035
msgstr ""
 
1036
 
 
1037
#: ../../src/prefdlg.py:475
 
1038
msgid "File History Length"
 
1039
msgstr ""
 
1040
 
 
1041
#: ../../src/ed_main.py:611
 
1042
msgid "File Not Found"
 
1043
msgstr "Fichièr introbable"
 
1044
 
 
1045
#: ../../src/prefdlg.py:543
 
1046
msgid "File Settings"
 
1047
msgstr ""
 
1048
 
 
1049
#: ../../src/eclib/infodlg.py:115
 
1050
msgid "File Stat Failed"
 
1051
msgstr ""
 
1052
 
 
1053
#: ../../plugins/Launch/launch/cfgdlg.py:208
 
1054
msgid "File Type"
 
1055
msgstr "Tipe de fichièr"
 
1056
 
 
1057
#: ../../src/ed_stc.py:612
 
1058
#, python-format
 
1059
msgid "File backup performed: %s"
 
1060
msgstr ""
 
1061
 
 
1062
#: ../../src/ed_stc.py:1841
 
1063
msgid "File is Read Only and cannot be saved"
 
1064
msgstr ""
 
1065
 
 
1066
#: ../../src/ed_pages.py:214
 
1067
msgid ""
 
1068
"File is already open in an existing page.\n"
 
1069
"Do you wish to open it again?"
 
1070
msgstr ""
 
1071
 
 
1072
#: ../../src/prefdlg.py:305 ../../src/eclib/filemgrdlg.py:218
 
1073
msgid "Files"
 
1074
msgstr "Fichièrs"
 
1075
 
 
1076
#: ../../src/ed_search.py:1302
 
1077
#, python-format
 
1078
msgid "Files Searched: %d"
 
1079
msgstr ""
 
1080
 
 
1081
#: ../../src/prefdlg.py:1094
 
1082
msgid "Filetype Associations"
 
1083
msgstr ""
 
1084
 
 
1085
#: ../../src/ed_cmdbar.py:261 ../../src/ed_search.py:147
 
1086
#: ../../src/ed_search.py:151 ../../src/ed_toolbar.py:90
 
1087
#: ../../src/eclib/finddlg.py:632 ../../src/eclib/finddlg.py:767
 
1088
#: ../../src/eclib/finddlg.py:843
 
1089
msgid "Find"
 
1090
msgstr "Recercar"
 
1091
 
 
1092
#: ../../src/ed_cmdbar.py:277 ../../src/eclib/finddlg.py:846
 
1093
msgid "Find All"
 
1094
msgstr ""
 
1095
 
 
1096
#: ../../src/ed_search.py:309
 
1097
msgid "Find Count"
 
1098
msgstr ""
 
1099
 
 
1100
#: ../../src/ed_menu.py:636
 
1101
msgid "Find Next"
 
1102
msgstr "Recercar lo seguent"
 
1103
 
 
1104
#: ../../src/eclib/finddlg.py:812
 
1105
msgid "Find Options"
 
1106
msgstr ""
 
1107
 
 
1108
#: ../../src/ed_menu.py:634
 
1109
msgid "Find Previous"
 
1110
msgstr "Recercar lo precedent"
 
1111
 
 
1112
#: ../../src/ed_menu.py:638
 
1113
msgid "Find Selected"
 
1114
msgstr "Cercar lo tèxte seleccionat"
 
1115
 
 
1116
#: ../../src/ed_menu.py:629 ../../src/ed_toolbar.py:90
 
1117
msgid "Find Text"
 
1118
msgstr "Recercar de tèxte"
 
1119
 
 
1120
#: ../../src/ed_menu.py:631 ../../src/ed_toolbar.py:92
 
1121
msgid "Find and Replace Text"
 
1122
msgstr ""
 
1123
 
 
1124
#: ../../src/eclib/finddlg.py:765
 
1125
msgid "Find what"
 
1126
msgstr ""
 
1127
 
 
1128
#: ../../src/ed_menu.py:630
 
1129
msgid "Find/R&eplace"
 
1130
msgstr ""
 
1131
 
 
1132
#: ../../src/ed_search.py:147 ../../src/ed_search.py:151
 
1133
#: ../../src/ed_toolbar.py:91
 
1134
msgid "Find/Replace"
 
1135
msgstr ""
 
1136
 
 
1137
#: ../../src/updater.py:680
 
1138
msgid "Finished"
 
1139
msgstr "Acabat"
 
1140
 
 
1141
#: ../../src/plugdlg.py:486
 
1142
msgid "Finshed downloading plugins"
 
1143
msgstr ""
 
1144
 
 
1145
#: ../../src/util.py:357 ../../src/eclib/infodlg.py:292
 
1146
msgid "Folder"
 
1147
msgstr "Dorsièr"
 
1148
 
 
1149
#: ../../src/style_editor.py:628
 
1150
msgid "Font"
 
1151
msgstr "Poliça"
 
1152
 
 
1153
#: ../../src/style_editor.py:623
 
1154
msgid "Font Settings"
 
1155
msgstr "Configuracion de las poliças"
 
1156
 
 
1157
#: ../../src/style_editor.py:584 ../../plugins/Launch/launch/cfgdlg.py:433
 
1158
msgid "Foreground"
 
1159
msgstr "Primièr plan"
 
1160
 
 
1161
#: ../../src/prefdlg.py:796
 
1162
msgid "Format"
 
1163
msgstr "Format"
 
1164
 
 
1165
#: ../../src/ed_stc.py:1187
 
1166
msgid "Format EOL?"
 
1167
msgstr ""
 
1168
 
 
1169
#: ../../src/ed_menu.py:757 ../../src/ed_menu.py:760 ../../src/ed_menu.py:763
 
1170
#, python-format
 
1171
msgid "Format all EOL characters to %s Mode"
 
1172
msgstr ""
 
1173
 
 
1174
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:50
 
1175
msgid "Function Definitions"
 
1176
msgstr ""
 
1177
 
 
1178
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
 
1179
msgid "Functions"
 
1180
msgstr "Foncions"
 
1181
 
 
1182
#: ../../src/prefdlg.py:189 ../../src/prefdlg.py:675 ../../src/prefdlg.py:968
 
1183
#: ../../plugins/Launch/launch/cfgdlg.py:173
 
1184
msgid "General"
 
1185
msgstr "General"
 
1186
 
 
1187
#: ../../src/generator.py:289 ../../src/generator.py:676
 
1188
#: ../../src/generator.py:879
 
1189
#, python-format
 
1190
msgid "Generate %s"
 
1191
msgstr ""
 
1192
 
 
1193
#: ../../src/generator.py:116
 
1194
msgid "Generate Code and Documents"
 
1195
msgstr ""
 
1196
 
 
1197
#: ../../src/generator.py:290 ../../src/generator.py:880
 
1198
#, python-format
 
1199
msgid "Generate a %s version of the current document"
 
1200
msgstr ""
 
1201
 
 
1202
#: ../../src/generator.py:677
 
1203
#, python-format
 
1204
msgid "Generate an %s version of the current document"
 
1205
msgstr ""
 
1206
 
 
1207
#: ../../src/generator.py:115
 
1208
msgid "Generator"
 
1209
msgstr "Generator"
 
1210
 
 
1211
#: ../../plugins/filebrowser/filebrowser/browser.py:422
 
1212
msgid "Get Info"
 
1213
msgstr "Entresenhas"
 
1214
 
 
1215
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:51
 
1216
msgid "Global Variables"
 
1217
msgstr "Variablas globalas"
 
1218
 
 
1219
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:385
 
1220
#, python-format
 
1221
msgid "Goto \"%s\""
 
1222
msgstr ""
 
1223
 
 
1224
#: ../../src/ed_cmdbar.py:437
 
1225
msgid "Goto Line"
 
1226
msgstr ""
 
1227
 
 
1228
#: ../../src/ed_menu.py:690
 
1229
msgid "Goto Line Number"
 
1230
msgstr ""
 
1231
 
 
1232
#: ../../src/ed_menu.py:691
 
1233
msgid "Goto Matching Brace"
 
1234
msgstr ""
 
1235
 
 
1236
#: ../../src/ed_menu.py:805
 
1237
msgid "Goto command buffer"
 
1238
msgstr ""
 
1239
 
 
1240
#: ../../src/ed_menu.py:695
 
1241
msgid "Goto next position in history."
 
1242
msgstr ""
 
1243
 
 
1244
#: ../../src/ed_menu.py:635
 
1245
msgid "Goto previous match"
 
1246
msgstr ""
 
1247
 
 
1248
#: ../../src/ed_menu.py:697
 
1249
msgid "Goto previous position in history."
 
1250
msgstr ""
 
1251
 
 
1252
#: ../../src/ed_menu.py:637
 
1253
msgid "Goto the next match"
 
1254
msgstr ""
 
1255
 
 
1256
#: ../../src/prefdlg.py:932
 
1257
msgid "Guide Column"
 
1258
msgstr ""
 
1259
 
 
1260
#: ../../src/ed_menu.py:784
 
1261
msgid "Highlight Brackets/Braces"
 
1262
msgstr ""
 
1263
 
 
1264
#: ../../src/ed_menu.py:660 ../../src/prefdlg.py:937
 
1265
msgid "Highlight Caret Line"
 
1266
msgstr "Suslinhar la linha correnta"
 
1267
 
 
1268
#: ../../src/ed_menu.py:661
 
1269
msgid "Highlight the background of the current line"
 
1270
msgstr ""
 
1271
 
 
1272
#: ../../plugins/filebrowser/filebrowser/browser.py:486
 
1273
msgid "Home directory"
 
1274
msgstr "Dorsièr personal"
 
1275
 
 
1276
#: ../../src/ed_main.py:1554
 
1277
msgid "Homepage"
 
1278
msgstr "Site web"
 
1279
 
 
1280
#: ../../src/prefdlg.py:1180
 
1281
msgid "Icon Theme"
 
1282
msgstr "Tèma d'icònas"
 
1283
 
 
1284
#: ../../src/prefdlg.py:1225
 
1285
msgid "Icons"
 
1286
msgstr "Icònas"
 
1287
 
 
1288
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:51
 
1289
msgid "Identities"
 
1290
msgstr "Identitats"
 
1291
 
 
1292
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:57
 
1293
msgid "Imports"
 
1294
msgstr ""
 
1295
 
 
1296
#: ../../src/ed_menu.py:726
 
1297
msgid "Indent Lines"
 
1298
msgstr ""
 
1299
 
 
1300
#: ../../src/prefdlg.py:732
 
1301
msgid "Indent Width"
 
1302
msgstr ""
 
1303
 
 
1304
#: ../../src/ed_menu.py:727
 
1305
msgid "Indent the selected lines"
 
1306
msgstr ""
 
1307
 
 
1308
#: ../../src/ed_menu.py:663 ../../src/prefdlg.py:940
 
1309
msgid "Indentation Guides"
 
1310
msgstr "Guidas d'indentacion"
 
1311
 
 
1312
#: ../../src/eclib/infodlg.py:58
 
1313
msgid "Info"
 
1314
msgstr "Informacion"
 
1315
 
 
1316
#: ../../plugins/Launch/launch/cfgdlg.py:453
 
1317
msgid "Info Text"
 
1318
msgstr ""
 
1319
 
 
1320
#: ../../src/prefdlg.py:977
 
1321
msgid "Input Helpers"
 
1322
msgstr ""
 
1323
 
 
1324
#: ../../src/ed_menu.py:737
 
1325
msgid "Insert spaces instead of tab characters with tab key"
 
1326
msgstr ""
 
1327
 
 
1328
#: ../../src/plugdlg.py:121 ../../src/plugdlg.py:763
 
1329
msgid "Install"
 
1330
msgstr "Installar"
 
1331
 
 
1332
#: ../../src/plugdlg.py:770
 
1333
msgid ""
 
1334
"Install the plugins for all users\n"
 
1335
" **requires administrative privileges**"
 
1336
msgstr ""
 
1337
 
 
1338
#: ../../src/plugdlg.py:767
 
1339
msgid "Install the plugins only for the current user"
 
1340
msgstr ""
 
1341
 
 
1342
#: ../../src/plugdlg.py:842
 
1343
msgid "Installation Error"
 
1344
msgstr ""
 
1345
 
 
1346
#: ../../src/prefdlg.py:1510
 
1347
msgid "Installed Version"
 
1348
msgstr "Version installada"
 
1349
 
 
1350
#: ../../src/ed_pages.py:356
 
1351
msgid "Invalid File"
 
1352
msgstr "Fichièr invalid"
 
1353
 
 
1354
#: ../../src/ed_cmdbar.py:776
 
1355
msgid "Invalid Path"
 
1356
msgstr ""
 
1357
 
 
1358
#: ../../src/ed_search.py:349
 
1359
#, python-format
 
1360
msgid "Invalid expression \"%s\""
 
1361
msgstr ""
 
1362
 
 
1363
#: ../../src/ed_pages.py:370
 
1364
msgid "Invalid file"
 
1365
msgstr "Fichièr invalid"
 
1366
 
 
1367
#: ../../src/ed_pages.py:972
 
1368
#, python-format
 
1369
msgid "Invalid file: %s"
 
1370
msgstr ""
 
1371
 
 
1372
#: ../../src/prefdlg.py:2159
 
1373
msgid "Inverse"
 
1374
msgstr "Invèrse"
 
1375
 
 
1376
#: ../../src/ed_menu.py:610
 
1377
msgid "Join Lines"
 
1378
msgstr "Fusionar las linhas"
 
1379
 
 
1380
#: ../../src/ed_menu.py:611
 
1381
msgid "Join the Selected Lines"
 
1382
msgstr ""
 
1383
 
 
1384
#: ../../plugins/filebrowser/filebrowser/browser.py:101
 
1385
msgid "Jump to Saved Path"
 
1386
msgstr ""
 
1387
 
 
1388
#: ../../src/prefdlg.py:1738
 
1389
msgid "Key"
 
1390
msgstr "Clau"
 
1391
 
 
1392
#: ../../src/prefdlg.py:1707
 
1393
msgid "Key Profile"
 
1394
msgstr ""
 
1395
 
 
1396
#: ../../src/prefdlg.py:1622
 
1397
msgid "Keybindings"
 
1398
msgstr "Acorchis de clavièr"
 
1399
 
 
1400
#: ../../src/eclib/infodlg.py:182
 
1401
msgid "Kind"
 
1402
msgstr "Genre"
 
1403
 
 
1404
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:51
 
1405
msgid "Labels"
 
1406
msgstr "Libellats"
 
1407
 
 
1408
#: ../../src/prefdlg.py:417
 
1409
msgid "Language"
 
1410
msgstr "Lenga"
 
1411
 
 
1412
#: ../../src/prefdlg.py:1516
 
1413
msgid "Latest Version"
 
1414
msgstr "Darrièra version"
 
1415
 
 
1416
#: ../../plugins/Launch/launch/__init__.py:140
 
1417
msgid "Launch"
 
1418
msgstr "Aviar"
 
1419
 
 
1420
#: ../../plugins/Launch/launch/cfgdlg.py:112
 
1421
msgid "Launch Configuration"
 
1422
msgstr ""
 
1423
 
 
1424
#: ../../src/prefdlg.py:1230
 
1425
msgid "Layout"
 
1426
msgstr "Agençament"
 
1427
 
 
1428
#: ../../src/prefdlg.py:2043
 
1429
msgid "Lexer"
 
1430
msgstr ""
 
1431
 
 
1432
#: ../../src/ed_menu.py:479
 
1433
msgid "Lexers"
 
1434
msgstr ""
 
1435
 
 
1436
#: ../../src/prefdlg.py:648
 
1437
msgid "Library Error"
 
1438
msgstr ""
 
1439
 
 
1440
#: ../../src/ed_main.py:1556
 
1441
msgid "License: wxWindows (see COPYING.txt for full license)"
 
1442
msgstr ""
 
1443
 
 
1444
#: ../../src/ed_menu.py:619
 
1445
msgid "Line Edit"
 
1446
msgstr ""
 
1447
 
 
1448
#: ../../plugins/codebrowser/codebrowser/cbconfig.py:44
 
1449
msgid "Line Number"
 
1450
msgstr ""
 
1451
 
 
1452
#: ../../src/ed_stc.py:752
 
1453
#, python-format
 
1454
msgid "Line: %(lnum)d  Column: %(cnum)d"
 
1455
msgstr ""
 
1456
 
 
1457
#: ../../src/prefdlg.py:401
 
1458
msgid "Load Last Session"
 
1459
msgstr ""
 
1460
 
 
1461
#: ../../src/ed_menu.py:543
 
1462
msgid "Load Profile"
 
1463
msgstr ""
 
1464
 
 
1465
#: ../../src/ed_menu.py:552
 
1466
msgid "Load Session"
 
1467
msgstr ""
 
1468
 
 
1469
#: ../../src/ed_main.py:754 ../../src/ed_menu.py:544
 
1470
msgid "Load a Custom Profile"
 
1471
msgstr ""
 
1472
 
 
1473
#: ../../src/ed_main.py:804
 
1474
msgid "Load a Session file"
 
1475
msgstr ""
 
1476
 
 
1477
#: ../../src/ed_menu.py:553
 
1478
msgid "Load a saved session."
 
1479
msgstr ""
 
1480
 
 
1481
#: ../../src/ed_menu.py:546
 
1482
msgid "Load and save custom Profiles"
 
1483
msgstr ""
 
1484
 
 
1485
#: ../../src/ed_menu.py:555
 
1486
msgid "Load and save custom sessions."
 
1487
msgstr ""
 
1488
 
 
1489
#: ../../src/prefdlg.py:403
 
1490
msgid "Load files from last session on startup"
 
1491
msgstr ""
 
1492
 
 
1493
#: ../../src/ed_main.py:760
 
1494
#, python-format
 
1495
msgid "Loaded Profile: %s"
 
1496
msgstr ""
 
1497
 
 
1498
#: ../../src/ed_main.py:818
 
1499
#, python-format
 
1500
msgid "Loaded Session: %s"
 
1501
msgstr ""
 
1502
 
 
1503
#: ../../src/prefdlg.py:438
 
1504
msgid "Locale Settings"
 
1505
msgstr ""
 
1506
 
 
1507
#: ../../plugins/Launch/launch/launch.py:182
 
1508
msgid "Lock File"
 
1509
msgstr ""
 
1510
 
 
1511
#: ../../src/eclib/finddlg.py:793
 
1512
msgid "Look in"
 
1513
msgstr ""
 
1514
 
 
1515
#: ../../src/ed_menu.py:733
 
1516
msgid "Lowercase"
 
1517
msgstr "Minusculas"
 
1518
 
 
1519
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
1520
msgid "Macro Definitions"
 
1521
msgstr ""
 
1522
 
 
1523
#: ../../src/ed_script.py:201 ../../src/ed_script.py:211
 
1524
msgid "Macro Manager"
 
1525
msgstr ""
 
1526
 
 
1527
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:51
 
1528
msgid "Macros"
 
1529
msgstr "Macros"
 
1530
 
 
1531
#: ../../src/prefdlg.py:1220
 
1532
msgid "Main display font for various UI components"
 
1533
msgstr ""
 
1534
 
 
1535
#: ../../src/ed_menu.py:807
 
1536
msgid "Manage, Download, and Install plugins"
 
1537
msgstr ""
 
1538
 
 
1539
#: ../../src/ed_menu.py:481
 
1540
msgid "Manually Set a Lexer/Syntax"
 
1541
msgstr ""
 
1542
 
 
1543
#: ../../src/ed_cmdbar.py:283
 
1544
msgid "Match Case"
 
1545
msgstr "Sensible a la cassa"
 
1546
 
 
1547
#: ../../src/eclib/finddlg.py:814
 
1548
msgid "Match case"
 
1549
msgstr "Respectar la cassa"
 
1550
 
 
1551
#: ../../src/ed_main.py:1428 ../../src/ed_menu.py:686
 
1552
msgid "Maximize Editor"
 
1553
msgstr ""
 
1554
 
 
1555
#: ../../src/prefdlg.py:1720
 
1556
msgid "Menu"
 
1557
msgstr "Menut"
 
1558
 
 
1559
#: ../../plugins/Launch/launch/cfgdlg.py:174
 
1560
msgid "Misc"
 
1561
msgstr "Divèrs"
 
1562
 
 
1563
#: ../../src/ed_pages.py:391
 
1564
msgid "Missing session files"
 
1565
msgstr ""
 
1566
 
 
1567
#: ../../src/ed_stc.py:1184
 
1568
msgid ""
 
1569
"Mixed EOL characters detected.\n"
 
1570
"\n"
 
1571
"Would you like to format them to all be the same?"
 
1572
msgstr ""
 
1573
 
 
1574
#: ../../src/eclib/infodlg.py:163 ../../src/eclib/infodlg.py:195
 
1575
msgid "Modified"
 
1576
msgstr "Modificat"
 
1577
 
 
1578
#: ../../src/prefdlg.py:1730
 
1579
msgid "Modifier 1"
 
1580
msgstr ""
 
1581
 
 
1582
#: ../../src/prefdlg.py:1734
 
1583
msgid "Modifier 2"
 
1584
msgstr ""
 
1585
 
 
1586
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
 
1587
msgid "Modules"
 
1588
msgstr "Moduls"
 
1589
 
 
1590
#: ../../src/ed_menu.py:617
 
1591
msgid "Move Current Line Down"
 
1592
msgstr ""
 
1593
 
 
1594
#: ../../src/ed_menu.py:614
 
1595
msgid "Move Current Line Up"
 
1596
msgstr ""
 
1597
 
 
1598
#: ../../src/ed_editv.py:227
 
1599
msgid "Move Tab to New Window"
 
1600
msgstr "Desplaçar l'onglet cap a una fenèstra novèla"
 
1601
 
 
1602
#: ../../src/ed_menu.py:692
 
1603
msgid "Move caret matching brace"
 
1604
msgstr ""
 
1605
 
 
1606
#: ../../src/ed_menu.py:618
 
1607
msgid "Move the current line down"
 
1608
msgstr ""
 
1609
 
 
1610
#: ../../src/ed_menu.py:615
 
1611
msgid "Move the current line up"
 
1612
msgstr ""
 
1613
 
 
1614
#: ../../plugins/filebrowser/filebrowser/browser.py:62
 
1615
msgid "Move to Recycle Bin"
 
1616
msgstr ""
 
1617
 
 
1618
#: ../../plugins/filebrowser/filebrowser/browser.py:64
 
1619
msgid "Move to Trash"
 
1620
msgstr "Metre dins l'escobilhièr"
 
1621
 
 
1622
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
1623
msgid "Namespaces"
 
1624
msgstr "Espaci de noms"
 
1625
 
 
1626
#: ../../src/prefdlg.py:195
 
1627
msgid "Network"
 
1628
msgstr "Ret"
 
1629
 
 
1630
#: ../../src/ed_toolbar.py:74 ../../src/prefdlg.py:1710
 
1631
#: ../../src/style_editor.py:173
 
1632
msgid "New"
 
1633
msgstr "Novèl"
 
1634
 
 
1635
#: ../../src/ed_menu.py:513
 
1636
msgid "New &Window"
 
1637
msgstr "&Fenèstra novèla"
 
1638
 
 
1639
#: ../../plugins/filebrowser/filebrowser/browser.py:426
 
1640
msgid "New File"
 
1641
msgstr "Fichièr novèl"
 
1642
 
 
1643
#: ../../plugins/filebrowser/filebrowser/browser.py:425
 
1644
msgid "New Folder"
 
1645
msgstr "Dorsièr novèl"
 
1646
 
 
1647
#: ../../src/ed_menu.py:596
 
1648
msgid "New Line After"
 
1649
msgstr ""
 
1650
 
 
1651
#: ../../src/ed_menu.py:598
 
1652
msgid "New Line Before"
 
1653
msgstr ""
 
1654
 
 
1655
#: ../../src/prefdlg.py:1905
 
1656
msgid "New Profile"
 
1657
msgstr "Perfil novèl"
 
1658
 
 
1659
#: ../../src/ed_editv.py:226
 
1660
msgid "New Tab"
 
1661
msgstr "Onglet novèl"
 
1662
 
 
1663
#: ../../src/ed_cmdbar.py:263
 
1664
msgid "Next"
 
1665
msgstr "Seguent"
 
1666
 
 
1667
#: ../../src/ed_menu.py:699
 
1668
msgid "Next Bookmark"
 
1669
msgstr "Signet seguent"
 
1670
 
 
1671
#: ../../src/ed_menu.py:694
 
1672
msgid "Next Position"
 
1673
msgstr ""
 
1674
 
 
1675
#: ../../src/plugdlg.py:370 ../../src/plugin.py:721
 
1676
msgid "No Description Available"
 
1677
msgstr ""
 
1678
 
 
1679
#: ../../src/ed_editv.py:392
 
1680
msgid "No Suggestions"
 
1681
msgstr ""
 
1682
 
 
1683
#: ../../src/ed_pages.py:982
 
1684
msgid "No Valid Files to Open"
 
1685
msgstr ""
 
1686
 
 
1687
#: ../../src/prefdlg.py:1804
 
1688
msgid "None"
 
1689
msgstr "Pas cap"
 
1690
 
 
1691
#: ../../src/prefdlg.py:2160
 
1692
msgid "Normal"
 
1693
msgstr "Normal"
 
1694
 
 
1695
#: ../../src/ed_search.py:618
 
1696
msgid "Not implemented"
 
1697
msgstr "Pas implementat"
 
1698
 
 
1699
#: ../../src/style_editor.py:129
 
1700
msgid "Ok"
 
1701
msgstr "D'acòrdi"
 
1702
 
 
1703
#: ../../src/ed_glob.py:385 ../../src/ed_mdlg.py:137
 
1704
msgid "Old Machintosh (\\r)"
 
1705
msgstr ""
 
1706
 
 
1707
#: ../../src/ed_menu.py:756 ../../src/ed_menu.py:758 ../../src/prefdlg.py:753
 
1708
msgid "Old Macintosh (\\r)"
 
1709
msgstr ""
 
1710
 
 
1711
#: ../../src/ed_menu.py:834
 
1712
msgid "Online Documentation..."
 
1713
msgstr ""
 
1714
 
 
1715
#: ../../src/ed_menu.py:835
 
1716
msgid "Online project documentation and help guides"
 
1717
msgstr ""
 
1718
 
 
1719
#: ../../src/ed_menu.py:516 ../../src/ed_toolbar.py:75
 
1720
msgid "Open"
 
1721
msgstr "Dobrir"
 
1722
 
 
1723
#: ../../src/ed_menu.py:518
 
1724
msgid "Open &Recent"
 
1725
msgstr "Dobèrt(s) &Recentament"
 
1726
 
 
1727
#: ../../plugins/PyShell/PyShell/__init__.py:66
 
1728
msgid "Open A Python Shell"
 
1729
msgstr ""
 
1730
 
 
1731
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:91
 
1732
msgid "Open Code Browser Sidepanel"
 
1733
msgstr ""
 
1734
 
 
1735
#: ../../src/ed_pages.py:993
 
1736
msgid "Open Directory?"
 
1737
msgstr ""
 
1738
 
 
1739
#: ../../src/eclib/finddlg.py:713
 
1740
msgid "Open Documents"
 
1741
msgstr "Documents dobèrts"
 
1742
 
 
1743
#: ../../src/ed_pages.py:216
 
1744
msgid "Open File"
 
1745
msgstr "Dobrir un fichièr"
 
1746
 
 
1747
#: ../../plugins/filebrowser/filebrowser/browser.py:233
 
1748
msgid "Open File Browser Sidepanel"
 
1749
msgstr ""
 
1750
 
 
1751
#: ../../src/prefdlg.py:521
 
1752
msgid "Open files in new windows by default"
 
1753
msgstr ""
 
1754
 
 
1755
#: ../../src/ed_menu.py:633
 
1756
msgid "Open the Quick Find Bar"
 
1757
msgstr ""
 
1758
 
 
1759
#: ../../plugins/filebrowser/filebrowser/browser.py:414
 
1760
msgid "Open with "
 
1761
msgstr ""
 
1762
 
 
1763
#: ../../src/ed_pages.py:1013
 
1764
#, python-format
 
1765
msgid "Opened file: %s"
 
1766
msgstr ""
 
1767
 
 
1768
#: ../../src/ed_main.py:1499
 
1769
#, python-format
 
1770
msgid "Opening %s"
 
1771
msgstr "Dobertura de %s"
 
1772
 
 
1773
#: ../../src/ed_menu.py:585
 
1774
msgid "P&aste After"
 
1775
msgstr ""
 
1776
 
 
1777
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
1778
msgid "Packages"
 
1779
msgstr "Paquets"
 
1780
 
 
1781
#: ../../src/ed_menu.py:558
 
1782
msgid "Page Set&up"
 
1783
msgstr ""
 
1784
 
 
1785
#: ../../src/ed_print.py:229
 
1786
#, python-format
 
1787
msgid "Page: %d"
 
1788
msgstr "Pagina : %d"
 
1789
 
 
1790
#: ../../src/ed_menu.py:684
 
1791
msgid "Pane Navigator"
 
1792
msgstr ""
 
1793
 
 
1794
#: ../../src/prefdlg.py:1410
 
1795
msgid "Password"
 
1796
msgstr "Senhal"
 
1797
 
 
1798
#: ../../src/ed_editv.py:364 ../../src/ed_toolbar.py:87
 
1799
msgid "Paste"
 
1800
msgstr "Empegar"
 
1801
 
 
1802
#: ../../src/ed_menu.py:584 ../../src/ed_toolbar.py:88
 
1803
msgid "Paste Text from Clipboard to File"
 
1804
msgstr ""
 
1805
 
 
1806
#: ../../src/ed_menu.py:586
 
1807
msgid "Paste Text from Clipboard to File after the cursor"
 
1808
msgstr ""
 
1809
 
 
1810
#: ../../src/prefdlg.py:583 ../../src/prefdlg.py:584
 
1811
msgid "Path to libenchant"
 
1812
msgstr ""
 
1813
 
 
1814
#: ../../plugins/filebrowser/filebrowser/browser.py:109
 
1815
msgid "Pathmarks"
 
1816
msgstr ""
 
1817
 
 
1818
#: ../../src/eclib/infodlg.py:197
 
1819
msgid "Permissions"
 
1820
msgstr "Permissions"
 
1821
 
 
1822
#: ../../src/perspective.py:253
 
1823
msgid "Perspective Name"
 
1824
msgstr ""
 
1825
 
 
1826
#: ../../src/perspective.py:270
 
1827
msgid "Perspective to Delete"
 
1828
msgstr ""
 
1829
 
 
1830
#: ../../src/ed_main.py:141
 
1831
msgid "Perspectives"
 
1832
msgstr ""
 
1833
 
 
1834
#: ../../plugins/Launch/launch/cfgdlg.py:439
 
1835
msgid "Plain Text"
 
1836
msgstr "Tèxte brut"
 
1837
 
 
1838
#: ../../src/ed_main.py:1555
 
1839
msgid "Platform Info"
 
1840
msgstr ""
 
1841
 
 
1842
#: ../../src/Editra.py:902
 
1843
msgid "Please check the preferences dialog to check your preferences"
 
1844
msgstr ""
 
1845
 
 
1846
#: ../../src/Editra.py:1111
 
1847
msgid "Please check the preferences dialog to verify your preferences"
 
1848
msgstr ""
 
1849
 
 
1850
#: ../../src/ed_main.py:1083 ../../src/ed_menu.py:806
 
1851
msgid "Plugin Manager"
 
1852
msgstr "Gestionari de Moduls extèrnes"
 
1853
 
 
1854
#: ../../src/prefdlg.py:1395
 
1855
msgid "Port Number"
 
1856
msgstr ""
 
1857
 
 
1858
#: ../../src/ed_menu.py:641
 
1859
msgid "Pr&eferences"
 
1860
msgstr ""
 
1861
 
 
1862
#: ../../src/prefdlg.py:496
 
1863
msgid "Prefered Encoding"
 
1864
msgstr ""
 
1865
 
 
1866
#: ../../src/prefdlg.py:106
 
1867
msgid "Preferences - Editra"
 
1868
msgstr ""
 
1869
 
 
1870
#: ../../src/style_editor.py:694
 
1871
#, fuzzy
 
1872
msgid "Preview File"
 
1873
msgstr "Apercebut"
 
1874
 
 
1875
#: ../../src/ed_menu.py:561
 
1876
msgid "Preview Printout"
 
1877
msgstr ""
 
1878
 
 
1879
#: ../../src/ed_cmdbar.py:271
 
1880
msgid "Previous"
 
1881
msgstr "Precedent"
 
1882
 
 
1883
#: ../../src/ed_menu.py:701
 
1884
msgid "Previous Bookmark"
 
1885
msgstr "Signet precedent"
 
1886
 
 
1887
#: ../../src/ed_menu.py:696
 
1888
msgid "Previous Position"
 
1889
msgstr ""
 
1890
 
 
1891
#: ../../src/prefdlg.py:812
 
1892
msgid "Primary Font"
 
1893
msgstr ""
 
1894
 
 
1895
#: ../../src/ed_toolbar.py:77
 
1896
msgid "Print"
 
1897
msgstr "Estampar"
 
1898
 
 
1899
#: ../../src/ed_menu.py:562 ../../src/ed_toolbar.py:78
 
1900
msgid "Print Current File"
 
1901
msgstr ""
 
1902
 
 
1903
#: ../../src/ed_print.py:113
 
1904
msgid "Print Error"
 
1905
msgstr "Error d'estampatge"
 
1906
 
 
1907
#: ../../src/ed_menu.py:560
 
1908
msgid "Print Pre&view"
 
1909
msgstr ""
 
1910
 
 
1911
#: ../../src/ed_print.py:105
 
1912
msgid "Print Preview"
 
1913
msgstr "Apercebut de l'estampatge"
 
1914
 
 
1915
#: ../../src/ed_print.py:131
 
1916
msgid "Printer Error"
 
1917
msgstr ""
 
1918
 
 
1919
#: ../../src/prefdlg.py:391
 
1920
msgid "Printer Mode"
 
1921
msgstr ""
 
1922
 
 
1923
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1924
msgid "Procedure Definitions"
 
1925
msgstr ""
 
1926
 
 
1927
#: ../../src/ed_main.py:735 ../../src/ed_main.py:756 ../../src/ed_menu.py:545
 
1928
msgid "Profile"
 
1929
msgstr "Perfil"
 
1930
 
 
1931
#: ../../src/ed_main.py:740
 
1932
#, python-format
 
1933
msgid "Profile Saved as: %s"
 
1934
msgstr ""
 
1935
 
 
1936
#: ../../src/Editra.py:904 ../../src/Editra.py:1113
 
1937
msgid "Profile Updated"
 
1938
msgstr ""
 
1939
 
 
1940
#: ../../plugins/Launch/launch/launch.py:176
 
1941
msgid "Program Executable Command"
 
1942
msgstr ""
 
1943
 
 
1944
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1945
msgid "Programs"
 
1946
msgstr "Programas"
 
1947
 
 
1948
#: ../../src/ed_menu.py:831
 
1949
msgid "Project Homepage..."
 
1950
msgstr ""
 
1951
 
 
1952
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1953
msgid "Protocols"
 
1954
msgstr "Protocòls"
 
1955
 
 
1956
#: ../../src/prefdlg.py:1381
 
1957
msgid "Proxy Settings"
 
1958
msgstr "Paramètres del proxy"
 
1959
 
 
1960
#: ../../src/prefdlg.py:1391
 
1961
msgid "Proxy URL"
 
1962
msgstr ""
 
1963
 
 
1964
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
 
1965
msgid "Public Functions"
 
1966
msgstr ""
 
1967
 
 
1968
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:57
 
1969
msgid "Public Subroutines"
 
1970
msgstr ""
 
1971
 
 
1972
#: ../../src/ed_shelf.py:117
 
1973
msgid "Put an item on the Shelf"
 
1974
msgstr ""
 
1975
 
 
1976
#: ../../src/updater.py:673
 
1977
#, python-format
 
1978
msgid "Rate: %.2f Kb/s"
 
1979
msgstr ""
 
1980
 
 
1981
#: ../../plugins/Launch/launch/__init__.py:100
 
1982
msgid "Re-run the last run program"
 
1983
msgstr ""
 
1984
 
 
1985
#: ../../src/ed_stc.py:1842
 
1986
msgid "Read Only"
 
1987
msgstr "Lectura sola"
 
1988
 
 
1989
#: ../../src/ed_search.py:801
 
1990
msgid "Recent Searches"
 
1991
msgstr "Recèrcas recentas"
 
1992
 
 
1993
#: ../../src/ed_menu.py:519
 
1994
msgid "Recently Opened Files"
 
1995
msgstr ""
 
1996
 
 
1997
#: ../../src/ed_stc.py:1457
 
1998
msgid "Recording Finished"
 
1999
msgstr ""
 
2000
 
 
2001
#: ../../src/ed_stc.py:1444
 
2002
msgid "Recording Macro"
 
2003
msgstr ""
 
2004
 
 
2005
#: ../../src/ed_editv.py:360 ../../src/ed_menu.py:577
 
2006
#: ../../src/ed_toolbar.py:81
 
2007
msgid "Redo"
 
2008
msgstr "Restablir"
 
2009
 
 
2010
#: ../../src/ed_menu.py:577 ../../src/ed_toolbar.py:81
 
2011
msgid "Redo Last Undo"
 
2012
msgstr ""
 
2013
 
 
2014
#: ../../src/ed_search.py:350
 
2015
msgid "Regex Compile Error"
 
2016
msgstr ""
 
2017
 
 
2018
#: ../../src/ed_cmdbar.py:294
 
2019
msgid "Regular Expression"
 
2020
msgstr "Expression racionala"
 
2021
 
 
2022
#: ../../src/eclib/finddlg.py:816
 
2023
msgid "Regular expression"
 
2024
msgstr "Expression racionala"
 
2025
 
 
2026
#: ../../src/ed_editv.py:465
 
2027
msgid "Reload File?"
 
2028
msgstr ""
 
2029
 
 
2030
#: ../../src/ed_menu.py:536
 
2031
msgid "Reload the file with a specified encoding"
 
2032
msgstr ""
 
2033
 
 
2034
#: ../../src/ed_main.py:1220
 
2035
msgid "Reload with Encoding"
 
2036
msgstr ""
 
2037
 
 
2038
#: ../../src/ed_menu.py:535
 
2039
msgid "Reload with Encoding..."
 
2040
msgstr ""
 
2041
 
 
2042
#: ../../src/prefdlg.py:524
 
2043
msgid "Remember File Position"
 
2044
msgstr ""
 
2045
 
 
2046
#: ../../src/prefdlg.py:1210
 
2047
msgid "Remember Window Position on Exit"
 
2048
msgstr ""
 
2049
 
 
2050
#: ../../src/prefdlg.py:1207
 
2051
msgid "Remember Window Size on Exit"
 
2052
msgstr ""
 
2053
 
 
2054
#: ../../src/ed_menu.py:624
 
2055
msgid "Remove All Bookmarks"
 
2056
msgstr ""
 
2057
 
 
2058
#: ../../plugins/filebrowser/filebrowser/browser.py:103
 
2059
msgid "Remove Saved Path"
 
2060
msgstr ""
 
2061
 
 
2062
#: ../../src/ed_menu.py:625
 
2063
msgid "Remove all bookmarks from the current document"
 
2064
msgstr ""
 
2065
 
 
2066
#: ../../plugins/Launch/launch/cfgdlg.py:244
 
2067
msgid "Remove selection from list"
 
2068
msgstr ""
 
2069
 
 
2070
#: ../../src/ed_menu.py:750
 
2071
msgid "Remove trailing whitespace"
 
2072
msgstr ""
 
2073
 
 
2074
#: ../../plugins/filebrowser/filebrowser/browser.py:423
 
2075
msgid "Rename"
 
2076
msgstr "Tornar nomenar"
 
2077
 
 
2078
#: ../../src/eclib/finddlg.py:635 ../../src/eclib/finddlg.py:844
 
2079
msgid "Replace"
 
2080
msgstr "Remplaçar"
 
2081
 
 
2082
#: ../../src/eclib/finddlg.py:847
 
2083
msgid "Replace All"
 
2084
msgstr "Remplaçar tot"
 
2085
 
 
2086
#: ../../src/ed_search.py:547 ../../src/ed_search.py:708
 
2087
msgid "Replace Error"
 
2088
msgstr ""
 
2089
 
 
2090
#: ../../src/eclib/finddlg.py:778
 
2091
msgid "Replace with"
 
2092
msgstr "Remplaçar amb"
 
2093
 
 
2094
#: ../../src/eclib/errdlg.py:324
 
2095
msgid "Report Error"
 
2096
msgstr "Raportar l'error"
 
2097
 
 
2098
#: ../../src/ed_editv.py:445
 
2099
msgid "Resave File?"
 
2100
msgstr ""
 
2101
 
 
2102
#: ../../src/ed_main.py:1430
 
2103
msgid "Restore Editor"
 
2104
msgstr ""
 
2105
 
 
2106
#: ../../src/plugdlg.py:673
 
2107
msgid "Retrieving Plugin List"
 
2108
msgstr ""
 
2109
 
 
2110
#: ../../plugins/filebrowser/filebrowser/browser.py:415
 
2111
msgid "Reveal in "
 
2112
msgstr ""
 
2113
 
 
2114
#: ../../src/ed_menu.py:534
 
2115
msgid "Revert file to last save point"
 
2116
msgstr ""
 
2117
 
 
2118
#: ../../src/prefdlg.py:1111 ../../src/prefdlg.py:1766
 
2119
msgid "Revert to Default"
 
2120
msgstr ""
 
2121
 
 
2122
#: ../../src/ed_menu.py:533
 
2123
msgid "Revert to Saved"
 
2124
msgstr ""
 
2125
 
 
2126
#: ../../plugins/Launch/launch/__init__.py:93
 
2127
#: ../../plugins/Launch/launch/launch.py:202
 
2128
#: ../../plugins/Launch/launch/launch.py:602
 
2129
msgid "Run"
 
2130
msgstr "Executar"
 
2131
 
 
2132
#: ../../plugins/Launch/launch/__init__.py:98
 
2133
msgid "Run last executed"
 
2134
msgstr ""
 
2135
 
 
2136
#: ../../plugins/Launch/launch/__init__.py:76
 
2137
msgid "Run script from current buffer"
 
2138
msgstr ""
 
2139
 
 
2140
#: ../../plugins/Launch/launch/__init__.py:95
 
2141
msgid "Run the file associated with the current buffer in Launch"
 
2142
msgstr ""
 
2143
 
 
2144
#: ../../src/ed_toolbar.py:76
 
2145
msgid "Save"
 
2146
msgstr "Salvar"
 
2147
 
 
2148
#: ../../src/ed_editv.py:229
 
2149
#, python-format
 
2150
msgid "Save \"%s\""
 
2151
msgstr ""
 
2152
 
 
2153
#: ../../src/ed_menu.py:529
 
2154
msgid "Save &As"
 
2155
msgstr ""
 
2156
 
 
2157
#: ../../src/ed_menu.py:530
 
2158
msgid "Save All"
 
2159
msgstr "Tot enregistrar"
 
2160
 
 
2161
#: ../../src/ed_menu.py:529 ../../src/eclib/filemgrdlg.py:121
 
2162
msgid "Save As"
 
2163
msgstr "Enregistrar jos"
 
2164
 
 
2165
#: ../../src/ed_editv.py:501
 
2166
msgid "Save Changes?"
 
2167
msgstr ""
 
2168
 
 
2169
#: ../../src/ed_menu.py:528 ../../src/ed_toolbar.py:76
 
2170
msgid "Save Current File"
 
2171
msgstr ""
 
2172
 
 
2173
#: ../../src/ed_menu.py:542
 
2174
msgid "Save Current Settings to a New Profile"
 
2175
msgstr ""
 
2176
 
 
2177
#: ../../src/perspective.py:69
 
2178
msgid "Save Current View"
 
2179
msgstr "Enregistrar la visualizacion actuala"
 
2180
 
 
2181
#: ../../src/ed_mdlg.py:106
 
2182
msgid "Save Error"
 
2183
msgstr ""
 
2184
 
 
2185
#: ../../src/perspective.py:254
 
2186
msgid "Save Perspective"
 
2187
msgstr ""
 
2188
 
 
2189
#: ../../src/ed_menu.py:541
 
2190
msgid "Save Profile"
 
2191
msgstr "Enregistrar lo perfil"
 
2192
 
 
2193
#: ../../plugins/filebrowser/filebrowser/browser.py:100
 
2194
msgid "Save Selected Paths"
 
2195
msgstr ""
 
2196
 
 
2197
#: ../../src/ed_menu.py:550
 
2198
msgid "Save Session"
 
2199
msgstr "Enregistrar la sesilha"
 
2200
 
 
2201
#: ../../src/style_editor.py:220
 
2202
msgid "Save Styles"
 
2203
msgstr ""
 
2204
 
 
2205
#: ../../src/ed_menu.py:531
 
2206
msgid "Save all open pages"
 
2207
msgstr ""
 
2208
 
 
2209
#: ../../src/ed_menu.py:551
 
2210
msgid "Save the current session."
 
2211
msgstr ""
 
2212
 
 
2213
#: ../../src/perspective.py:70
 
2214
msgid "Save the current window layout"
 
2215
msgstr ""
 
2216
 
 
2217
#: ../../src/ed_main.py:717
 
2218
#, python-format
 
2219
msgid "Saved File As: %s"
 
2220
msgstr ""
 
2221
 
 
2222
#: ../../src/ed_main.py:671
 
2223
#, python-format
 
2224
msgid "Saved File: %s"
 
2225
msgstr ""
 
2226
 
 
2227
#: ../../src/ed_search.py:1301
 
2228
#, python-format
 
2229
msgid "Search Complete: %d matching lines where found."
 
2230
msgstr ""
 
2231
 
 
2232
#: ../../src/eclib/finddlg.py:817
 
2233
msgid "Search Recursively"
 
2234
msgstr ""
 
2235
 
 
2236
#: ../../src/ed_search.py:1275
 
2237
msgid "Search Started"
 
2238
msgstr ""
 
2239
 
 
2240
#: ../../src/ed_search.py:1294
 
2241
msgid "Search complete"
 
2242
msgstr ""
 
2243
 
 
2244
#: ../../src/ed_menu.py:639
 
2245
msgid "Search for the currently selected phrase"
 
2246
msgstr ""
 
2247
 
 
2248
#: ../../plugins/filebrowser/filebrowser/browser.py:420
 
2249
msgid "Search in directory"
 
2250
msgstr ""
 
2251
 
 
2252
#: ../../src/ed_search.py:408
 
2253
msgid "Search wrapped to bottom"
 
2254
msgstr ""
 
2255
 
 
2256
#: ../../src/ed_search.py:403
 
2257
msgid "Search wrapped to top"
 
2258
msgstr ""
 
2259
 
 
2260
#: ../../src/ed_search.py:1385
 
2261
#, python-format
 
2262
msgid "Searching in: %s"
 
2263
msgstr ""
 
2264
 
 
2265
#: ../../src/prefdlg.py:815
 
2266
msgid "Secondary Font"
 
2267
msgstr ""
 
2268
 
 
2269
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
 
2270
msgid "Sections"
 
2271
msgstr "Seccions"
 
2272
 
 
2273
#: ../../src/ed_menu.py:590
 
2274
msgid "Select &All"
 
2275
msgstr "Seleccionar &tot"
 
2276
 
 
2277
#: ../../src/ed_editv.py:369
 
2278
msgid "Select All"
 
2279
msgstr "Seleccionar tot"
 
2280
 
 
2281
#: ../../src/ed_menu.py:591
 
2282
msgid "Select All Text in Document"
 
2283
msgstr ""
 
2284
 
 
2285
#: ../../src/ed_main.py:1219
 
2286
msgid "Select an encoding to reload the file with"
 
2287
msgstr ""
 
2288
 
 
2289
#: ../../src/plugdlg.py:501
 
2290
msgid "Select plugins to download"
 
2291
msgstr ""
 
2292
 
 
2293
#: ../../src/eclib/finddlg.py:712
 
2294
msgid "Selected Text"
 
2295
msgstr ""
 
2296
 
 
2297
#: ../../src/ed_pages.py:371
 
2298
msgid "Selected file is not a valid session file"
 
2299
msgstr ""
 
2300
 
 
2301
#: ../../src/ed_menu.py:840
 
2302
msgid "Send bug reports and suggestions"
 
2303
msgstr ""
 
2304
 
 
2305
#: ../../src/ed_main.py:779 ../../src/ed_main.py:806
 
2306
msgid "Session"
 
2307
msgstr "Sesilha"
 
2308
 
 
2309
#: ../../src/ed_main.py:794
 
2310
#, python-format
 
2311
msgid "Session Saved as: %s"
 
2312
msgstr ""
 
2313
 
 
2314
#: ../../src/ed_pages.py:356
 
2315
msgid "Session file doesn't exist."
 
2316
msgstr ""
 
2317
 
 
2318
#: ../../src/ed_pages.py:377
 
2319
msgid "Session file is empty."
 
2320
msgstr ""
 
2321
 
 
2322
#: ../../src/ed_menu.py:554
 
2323
msgid "Sessions"
 
2324
msgstr "Sesilhas"
 
2325
 
 
2326
#: ../../src/eclib/ecpickers.py:80
 
2327
msgid "Set Font"
 
2328
msgstr ""
 
2329
 
 
2330
#: ../../src/style_editor.py:697
 
2331
msgid "Set the preview file type"
 
2332
msgstr ""
 
2333
 
 
2334
#: ../../src/prefdlg.py:789
 
2335
msgid "Sets a secondary font used for special regions when syntax highlighting is in use"
 
2336
msgstr ""
 
2337
 
 
2338
#: ../../src/prefdlg.py:784
 
2339
msgid "Sets the main/default font of the document"
 
2340
msgstr ""
 
2341
 
 
2342
#: ../../plugins/Launch/launch/launch.py:169
 
2343
msgid "Settings"
 
2344
msgstr "Paramètres"
 
2345
 
 
2346
#: ../../src/ed_shelf.py:116
 
2347
msgid "Shelf"
 
2348
msgstr ""
 
2349
 
 
2350
#: ../../src/ed_menu.py:667 ../../src/ed_menu.py:668 ../../src/prefdlg.py:765
 
2351
msgid "Show EOL Markers"
 
2352
msgstr ""
 
2353
 
 
2354
#: ../../src/ed_menu.py:665
 
2355
msgid "Show Edge Guide"
 
2356
msgstr ""
 
2357
 
 
2358
#: ../../plugins/filebrowser/filebrowser/browser.py:218
 
2359
msgid "Show Hidden Files"
 
2360
msgstr "Afichar los fichièrs amagats"
 
2361
 
 
2362
#: ../../src/prefdlg.py:1191
 
2363
msgid "Show Icons on Tabs"
 
2364
msgstr ""
 
2365
 
 
2366
#: ../../src/ed_menu.py:664
 
2367
msgid "Show Indentation Guides"
 
2368
msgstr ""
 
2369
 
 
2370
#: ../../src/ed_menu.py:670
 
2371
msgid "Show Line Number Margin"
 
2372
msgstr ""
 
2373
 
 
2374
#: ../../src/ed_menu.py:669 ../../src/prefdlg.py:767
 
2375
msgid "Show Line Numbers"
 
2376
msgstr ""
 
2377
 
 
2378
#: ../../src/ed_shelf.py:369
 
2379
msgid "Show Shelf"
 
2380
msgstr ""
 
2381
 
 
2382
#: ../../src/prefdlg.py:405
 
2383
msgid "Show Splash Screen"
 
2384
msgstr ""
 
2385
 
 
2386
#: ../../src/ed_menu.py:705 ../../src/prefdlg.py:1212
 
2387
msgid "Show Status Bar"
 
2388
msgstr "Aficha la barra d'estat"
 
2389
 
 
2390
#: ../../src/ed_menu.py:707 ../../src/prefdlg.py:1214
 
2391
msgid "Show Toolbar"
 
2392
msgstr "Afichar la barra d'aisinas"
 
2393
 
 
2394
#: ../../src/ed_menu.py:671 ../../src/prefdlg.py:769
 
2395
msgid "Show Whitespace"
 
2396
msgstr ""
 
2397
 
 
2398
#: ../../src/ed_menu.py:672
 
2399
msgid "Show Whitespace Markers"
 
2400
msgstr ""
 
2401
 
 
2402
#: ../../src/ed_log.py:132
 
2403
msgid "Show output from"
 
2404
msgstr ""
 
2405
 
 
2406
#: ../../src/ed_shelf.py:371
 
2407
msgid "Show the Shelf"
 
2408
msgstr ""
 
2409
 
 
2410
#: ../../src/ed_menu.py:666
 
2411
msgid "Show the edge column guide"
 
2412
msgstr ""
 
2413
 
 
2414
#: ../../src/style_editor.py:644 ../../src/eclib/infodlg.py:189
 
2415
msgid "Size"
 
2416
msgstr "Talha"
 
2417
 
 
2418
#: ../../src/ed_pages.py:392
 
2419
msgid "Some files in saved session could not be found on disk:\n"
 
2420
msgstr ""
 
2421
 
 
2422
#: ../../src/style_editor.py:218
 
2423
msgid "Some styles have been changed would you like to save before exiting?"
 
2424
msgstr ""
 
2425
 
 
2426
#: ../../src/ed_search.py:617
 
2427
msgid "Sorry will be ready for future version"
 
2428
msgstr ""
 
2429
 
 
2430
#: ../../plugins/codebrowser/codebrowser/cbconfig.py:40
 
2431
#, fuzzy
 
2432
msgid "Sorting"
 
2433
msgstr "Paramètres"
 
2434
 
 
2435
#: ../../src/ed_menu.py:745
 
2436
msgid "Spaces to Tabs"
 
2437
msgstr ""
 
2438
 
 
2439
#: ../../src/prefdlg.py:557
 
2440
msgid "Spell Checking"
 
2441
msgstr "Verificacion ortografica"
 
2442
 
 
2443
#: ../../src/ed_toolbar.py:74
 
2444
msgid "Start a New File"
 
2445
msgstr ""
 
2446
 
 
2447
#: ../../src/style_editor.py:174
 
2448
msgid "Start a blank new style"
 
2449
msgstr ""
 
2450
 
 
2451
#: ../../src/ed_menu.py:512
 
2452
msgid "Start a new file in a new tab"
 
2453
msgstr ""
 
2454
 
 
2455
#: ../../src/ed_menu.py:514
 
2456
msgid "Start a new file in a new window"
 
2457
msgstr ""
 
2458
 
 
2459
#: ../../src/prefdlg.py:959
 
2460
msgid "Start in Normal Mode"
 
2461
msgstr ""
 
2462
 
 
2463
#: ../../src/prefdlg.py:304
 
2464
msgid "Startup"
 
2465
msgstr "Aviada"
 
2466
 
 
2467
#: ../../src/prefdlg.py:427
 
2468
msgid "Startup Settings"
 
2469
msgstr ""
 
2470
 
 
2471
#: ../../src/updater.py:290
 
2472
msgid "Status Unknown"
 
2473
msgstr ""
 
2474
 
 
2475
#: ../../src/ed_menu.py:808 ../../src/style_editor.py:63
 
2476
msgid "Style Editor"
 
2477
msgstr ""
 
2478
 
 
2479
#: ../../src/style_editor.py:190
 
2480
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
 
2481
msgid "Style Tags"
 
2482
msgstr ""
 
2483
 
 
2484
#: ../../src/style_editor.py:166
 
2485
msgid "Style Theme"
 
2486
msgstr ""
 
2487
 
 
2488
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:55
 
2489
msgid "Subroutine Declarations"
 
2490
msgstr ""
 
2491
 
 
2492
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
 
2493
msgid "Subroutines"
 
2494
msgstr "Sosrotinas"
 
2495
 
 
2496
#: ../../src/plugdlg.py:831
 
2497
msgid "Successfully Installed Plugins"
 
2498
msgstr ""
 
2499
 
 
2500
#: ../../src/ed_menu.py:477 ../../src/syntax/syntax.py:315
 
2501
#, python-format
 
2502
msgid "Switch Lexer to %s"
 
2503
msgstr ""
 
2504
 
 
2505
#: ../../src/ed_menu.py:787 ../../src/prefdlg.py:677 ../../src/prefdlg.py:1084
 
2506
msgid "Syntax Highlighting"
 
2507
msgstr "Coloracion sintaxica"
 
2508
 
 
2509
#: ../../src/plugdlg.py:769
 
2510
msgid "System Directory"
 
2511
msgstr ""
 
2512
 
 
2513
#: ../../src/prefdlg.py:724
 
2514
msgid "Tab Width"
 
2515
msgstr "Largor de las tabulacions"
 
2516
 
 
2517
#: ../../src/ed_menu.py:747
 
2518
msgid "Tabs to Spaces"
 
2519
msgstr ""
 
2520
 
 
2521
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:55
 
2522
msgid "Task Definitions"
 
2523
msgstr ""
 
2524
 
 
2525
#: ../../plugins/Launch/launch/cfgdlg.py:405
 
2526
msgid "Text Colors"
 
2527
msgstr ""
 
2528
 
 
2529
#: ../../src/util.py:361
 
2530
msgid "Text Document"
 
2531
msgstr "Document de tèxte"
 
2532
 
 
2533
#: ../../src/ed_pages.py:165
 
2534
#, python-format
 
2535
msgid ""
 
2536
"The correct encoding of '%s' could not be determined.\n"
 
2537
"\n"
 
2538
"Choose an encoding and select Ok to open the file with the chosen encoding.\n"
 
2539
"Click Cancel to abort opening the file"
 
2540
msgstr ""
 
2541
 
 
2542
#: ../../src/ed_editv.py:498
 
2543
#, python-format
 
2544
msgid ""
 
2545
"The file: \"%s\" has been modified since the last save point.\n"
 
2546
"\n"
 
2547
"Would you like to save the changes?"
 
2548
msgstr ""
 
2549
 
 
2550
#: ../../plugins/Launch/launch/launch.py:735
 
2551
msgid "The requested command could not be executed."
 
2552
msgstr ""
 
2553
 
 
2554
#: ../../src/ed_search.py:308
 
2555
#, python-format
 
2556
msgid "The search term '%(term)s' was found %(count)d times."
 
2557
msgstr ""
 
2558
 
 
2559
#: ../../src/ed_pages.py:980
 
2560
#, python-format
 
2561
msgid "There are no files that Editra can open in %s"
 
2562
msgstr ""
 
2563
 
 
2564
#: ../../src/ed_print.py:129
 
2565
msgid ""
 
2566
"There was an error when printing.\n"
 
2567
"Check that your printer is properly connected."
 
2568
msgstr ""
 
2569
 
 
2570
#: ../../src/plugdlg.py:408
 
2571
msgid "This plugin requires a newer version of Editra."
 
2572
msgstr ""
 
2573
 
 
2574
#: ../../src/plugdlg.py:1066
 
2575
msgid "This plugin will be uninstalled on next program launch."
 
2576
msgstr ""
 
2577
 
 
2578
#: ../../src/ed_editv.py:367
 
2579
msgid "To Lowercase"
 
2580
msgstr ""
 
2581
 
 
2582
#: ../../src/ed_editv.py:366
 
2583
msgid "To Uppercase"
 
2584
msgstr "Convertir en majusculas"
 
2585
 
 
2586
#: ../../src/plugdlg.py:752
 
2587
msgid ""
 
2588
"To add a new item drag and drop the plugin file into the list.\n"
 
2589
"\n"
 
2590
"To remove an item select it and hit Delete or Backspace."
 
2591
msgstr ""
 
2592
 
 
2593
#: ../../plugins/filebrowser/filebrowser/browser.py:93
 
2594
#, python-format
 
2595
msgid "To open multiple files at once %s+Click to select the desired files/folders then hit Enter to open them all at once"
 
2596
msgstr ""
 
2597
 
 
2598
#: ../../src/ed_menu.py:781
 
2599
msgid "Toggle Auto-Indentation functionality"
 
2600
msgstr ""
 
2601
 
 
2602
#: ../../src/ed_menu.py:622
 
2603
msgid "Toggle Bookmark"
 
2604
msgstr ""
 
2605
 
 
2606
#: ../../src/ed_menu.py:786
 
2607
msgid "Toggle Code Folding"
 
2608
msgstr ""
 
2609
 
 
2610
#: ../../src/ed_menu.py:722
 
2611
msgid "Toggle Comment"
 
2612
msgstr ""
 
2613
 
 
2614
#: ../../src/ed_menu.py:687
 
2615
msgid "Toggle Editor Maximization"
 
2616
msgstr ""
 
2617
 
 
2618
#: ../../src/ed_menu.py:674
 
2619
msgid "Toggle Editor View Options"
 
2620
msgstr ""
 
2621
 
 
2622
#: ../../src/ed_menu.py:678 ../../src/ed_menu.py:679
 
2623
msgid "Toggle all folds"
 
2624
msgstr ""
 
2625
 
 
2626
#: ../../src/ed_menu.py:623
 
2627
msgid "Toggle bookmark of the current line"
 
2628
msgstr ""
 
2629
 
 
2630
#: ../../src/ed_menu.py:723
 
2631
msgid "Toggle comment on the selected line(s)"
 
2632
msgstr ""
 
2633
 
 
2634
#: ../../src/ed_menu.py:677
 
2635
msgid "Toggle current fold"
 
2636
msgstr ""
 
2637
 
 
2638
#: ../../src/ed_menu.py:676
 
2639
msgid "Toggle fold"
 
2640
msgstr ""
 
2641
 
 
2642
#: ../../src/prefdlg.py:1185
 
2643
msgid "Toolbar Icon Size"
 
2644
msgstr "Talha de las icònas de las barras d'aisinas"
 
2645
 
 
2646
#: ../../src/ed_menu.py:836
 
2647
msgid "Translate Editra..."
 
2648
msgstr "Traduire Editra..."
 
2649
 
 
2650
#: ../../src/prefdlg.py:1238
 
2651
msgid "Transparency"
 
2652
msgstr "Transparéncia"
 
2653
 
 
2654
#: ../../src/ed_menu.py:612
 
2655
msgid "Transpose Line"
 
2656
msgstr ""
 
2657
 
 
2658
#: ../../src/ed_menu.py:613
 
2659
msgid "Transpose the current line with the previous one"
 
2660
msgstr ""
 
2661
 
 
2662
#: ../../src/ed_menu.py:749
 
2663
msgid "Trim Trailing Whitespace"
 
2664
msgstr ""
 
2665
 
 
2666
#: ../../src/prefdlg.py:773
 
2667
msgid "Turn off for better performance"
 
2668
msgstr ""
 
2669
 
 
2670
#: ../../src/util.py:184
 
2671
msgid "Unable to accept dropped file or text"
 
2672
msgstr ""
 
2673
 
 
2674
#: ../../src/eclib/filemgrdlg.py:174
 
2675
#, python-format
 
2676
msgid "Unable to delete %s"
 
2677
msgstr ""
 
2678
 
 
2679
#: ../../src/plugdlg.py:506
 
2680
msgid "Unable to retrieve plugin list"
 
2681
msgstr ""
 
2682
 
 
2683
#: ../../src/ed_editv.py:359 ../../src/ed_toolbar.py:80
 
2684
msgid "Undo"
 
2685
msgstr "Anullar"
 
2686
 
 
2687
#: ../../src/ed_menu.py:576 ../../src/ed_toolbar.py:80
 
2688
msgid "Undo Last Action"
 
2689
msgstr ""
 
2690
 
 
2691
#: ../../src/ed_menu.py:728
 
2692
msgid "Unindent Lines"
 
2693
msgstr ""
 
2694
 
 
2695
#: ../../src/ed_menu.py:729
 
2696
msgid "Unindent the selected lines"
 
2697
msgstr ""
 
2698
 
 
2699
#: ../../src/plugdlg.py:952
 
2700
msgid "Uninstall"
 
2701
msgstr "Desinstallar"
 
2702
 
 
2703
#: ../../src/plugdlg.py:1062
 
2704
msgid "Uninstall Plugin"
 
2705
msgstr ""
 
2706
 
 
2707
#: ../../src/ed_glob.py:386 ../../src/ed_mdlg.py:137 ../../src/ed_menu.py:759
 
2708
#: ../../src/ed_menu.py:761 ../../src/prefdlg.py:753
 
2709
msgid "Unix (\\n)"
 
2710
msgstr "Unix (\\n)"
 
2711
 
 
2712
#: ../../src/plugdlg.py:366 ../../src/plugdlg.py:372 ../../src/plugdlg.py:419
 
2713
#: ../../src/plugdlg.py:423 ../../src/plugin.py:722 ../../src/util.py:367
 
2714
#: ../../src/eclib/infodlg.py:298
 
2715
msgid "Unknown"
 
2716
msgstr "Desconegut"
 
2717
 
 
2718
#: ../../plugins/filebrowser/filebrowser/browser.py:596
 
2719
msgid "Untitled_File"
 
2720
msgstr ""
 
2721
 
 
2722
#: ../../plugins/filebrowser/filebrowser/browser.py:594
 
2723
msgid "Untitled_Folder"
 
2724
msgstr ""
 
2725
 
 
2726
#: ../../src/eclib/finddlg.py:804
 
2727
msgid "Up"
 
2728
msgstr "Naut"
 
2729
 
 
2730
#: ../../src/prefdlg.py:1348
 
2731
msgid "Update"
 
2732
msgstr "Metre a jorn"
 
2733
 
 
2734
#: ../../src/Editra.py:603
 
2735
msgid "Update Available"
 
2736
msgstr "Mesa a jorn disponibla"
 
2737
 
 
2738
#: ../../src/ed_menu.py:731
 
2739
msgid "Uppercase"
 
2740
msgstr "Majusculas"
 
2741
 
 
2742
#: ../../src/ed_menu.py:779
 
2743
msgid "Use Auto Completion when available"
 
2744
msgstr ""
 
2745
 
 
2746
#: ../../src/prefdlg.py:1386
 
2747
msgid "Use Proxy"
 
2748
msgstr "Utilizar un proxy"
 
2749
 
 
2750
#: ../../src/ed_menu.py:736
 
2751
msgid "Use Soft Tabs"
 
2752
msgstr ""
 
2753
 
 
2754
#: ../../src/prefdlg.py:743
 
2755
msgid "Use Tabs Instead of Spaces"
 
2756
msgstr ""
 
2757
 
 
2758
#: ../../src/prefdlg.py:511
 
2759
msgid "Used to set a custom backup path. If not specified the backup will be put in the same directory as the file."
 
2760
msgstr ""
 
2761
 
 
2762
#: ../../src/plugdlg.py:765
 
2763
msgid "User Directory"
 
2764
msgstr ""
 
2765
 
 
2766
#: ../../src/prefdlg.py:1403
 
2767
msgid "Username"
 
2768
msgstr "Nom d'utilizaire"
 
2769
 
 
2770
#: ../../src/ed_log.py:80
 
2771
msgid "View Editra's console log"
 
2772
msgstr ""
 
2773
 
 
2774
#: ../../src/ed_menu.py:700
 
2775
msgid "View Line of Next Bookmark"
 
2776
msgstr ""
 
2777
 
 
2778
#: ../../src/ed_menu.py:702
 
2779
msgid "View Line of Previous Bookmark"
 
2780
msgstr ""
 
2781
 
 
2782
#: ../../src/prefdlg.py:804
 
2783
msgid "View Options"
 
2784
msgstr "Afichar las opcions"
 
2785
 
 
2786
#: ../../src/prefdlg.py:775
 
2787
msgid "View Virtual Space After Last Line"
 
2788
msgstr ""
 
2789
 
 
2790
#: ../../src/ed_script.py:202
 
2791
msgid "View and Edit Macros"
 
2792
msgstr ""
 
2793
 
 
2794
#: ../../src/ed_menu.py:685
 
2795
msgid "View pane selection list"
 
2796
msgstr ""
 
2797
 
 
2798
#: ../../src/ed_menu.py:832
 
2799
#, python-format
 
2800
msgid "Visit the project homepage %s"
 
2801
msgstr ""
 
2802
 
 
2803
#: ../../src/prefdlg.py:922
 
2804
msgid "Visual Helpers"
 
2805
msgstr "Ajudas visualas"
 
2806
 
 
2807
#: ../../src/prefdlg.py:537
 
2808
msgid "Warn when mixed eol characters are detected"
 
2809
msgstr ""
 
2810
 
 
2811
#: ../../plugins/Launch/launch/cfgdlg.py:460
 
2812
msgid "Warning Text"
 
2813
msgstr "Tèxte d'avertiment"
 
2814
 
 
2815
#: ../../src/prefdlg.py:950
 
2816
msgid "Warning suggestions will include context insensitive results"
 
2817
msgstr ""
 
2818
 
 
2819
#: ../../src/eclib/infodlg.py:191
 
2820
msgid "Where"
 
2821
msgstr "Ont"
 
2822
 
 
2823
#: ../../src/ed_main.py:733
 
2824
msgid "Where to Save Profile?"
 
2825
msgstr ""
 
2826
 
 
2827
#: ../../src/ed_main.py:777
 
2828
msgid "Where to Save Session?"
 
2829
msgstr ""
 
2830
 
 
2831
#: ../../src/ed_menu.py:751
 
2832
msgid "Whitespace"
 
2833
msgstr "Espacis"
 
2834
 
 
2835
#: ../../src/ed_menu.py:752
 
2836
msgid "Whitespace formating commands"
 
2837
msgstr ""
 
2838
 
 
2839
#: ../../src/ed_cmdbar.py:290
 
2840
msgid "Whole Word"
 
2841
msgstr "Mot Entièr"
 
2842
 
 
2843
#: ../../src/eclib/finddlg.py:815
 
2844
msgid "Whole word"
 
2845
msgstr "Mot entièr"
 
2846
 
 
2847
#: ../../src/ed_glob.py:387 ../../src/ed_mdlg.py:138 ../../src/ed_menu.py:762
 
2848
#: ../../src/ed_menu.py:764 ../../src/prefdlg.py:754
 
2849
msgid "Windows (\\r\\n)"
 
2850
msgstr "Windows (\\r\\n)"
 
2851
 
 
2852
#: ../../src/ed_menu.py:739 ../../src/prefdlg.py:771
 
2853
msgid "Word Wrap"
 
2854
msgstr "Copadura dels mots"
 
2855
 
 
2856
#: ../../src/ed_menu.py:740
 
2857
msgid "Wrap Text Horizontally"
 
2858
msgstr ""
 
2859
 
 
2860
#: ../../plugins/Launch/launch/cfgdlg.py:419
 
2861
msgid "Wrap lines in output buffer"
 
2862
msgstr ""
 
2863
 
 
2864
#: ../../src/ed_main.py:1553
 
2865
#, python-format
 
2866
msgid "Written in 100%% Python."
 
2867
msgstr ""
 
2868
 
 
2869
#: ../../src/plugdlg.py:190
 
2870
msgid "You must restart Editra before your changes will take full affect."
 
2871
msgstr ""
 
2872
 
 
2873
#: ../../src/Editra.py:900 ../../src/Editra.py:1109
 
2874
msgid "Your profile has been updated to the latest version"
 
2875
msgstr ""
 
2876
 
 
2877
#: ../../src/ed_menu.py:656 ../../src/ed_menu.py:657
 
2878
msgid "Zoom Default"
 
2879
msgstr "Zoom per defaut"
 
2880
 
 
2881
#: ../../src/ed_menu.py:655
 
2882
msgid "Zoom In"
 
2883
msgstr "Zoom avant"
 
2884
 
 
2885
#: ../../src/ed_menu.py:654
 
2886
msgid "Zoom Out"
 
2887
msgstr "Zoom arrièr"
 
2888
 
 
2889
#: ../../plugins/Launch/launch/launch.py:189
 
2890
msgid "args"
 
2891
msgstr "arguments"
 
2892
 
 
2893
#: ../../src/style_editor.py:611
 
2894
msgid "bold"
 
2895
msgstr "gras"
 
2896
 
 
2897
#: ../../src/ed_cmdbar.py:871
 
2898
msgid "cwd: "
 
2899
msgstr ""
 
2900
 
 
2901
#: ../../src/style_editor.py:612
 
2902
msgid "eol"
 
2903
msgstr "fin de linha"
 
2904
 
 
2905
#: ../../plugins/Launch/launch/launch.py:173
 
2906
msgid "exec"
 
2907
msgstr "executar"
 
2908
 
 
2909
#: ../../src/style_editor.py:613
 
2910
msgid "italic"
 
2911
msgstr "italica"
 
2912
 
 
2913
#: ../../src/style_editor.py:614
 
2914
msgid "underline"
 
2915
msgstr "soslinhament"
 
2916
 
 
2917
#: ../../src/ed_pages.py:237
 
2918
msgid "untitled"
 
2919
msgstr "sens títol"
 
2920
 
 
2921
#: ../../src/ed_pages.py:235
 
2922
#, python-format
 
2923
msgid "untitled %d"
 
2924
msgstr "%d sens títol"
 
2925
 
 
2926
#~ msgid "Changed color scheme to %s"
 
2927
#~ msgstr "L'esquèma de color es estat cambiat en %s"