~brian-sidebotham/wxwidgets-cmake/wxpython-2.9.4

« back to all changes in this revision

Viewing changes to wxPython/wx/tools/Editra/scripts/i18n/Editra_en_GB.po

  • Committer: Brian Sidebotham
  • Date: 2013-08-03 14:30:08 UTC
  • Revision ID: brian.sidebotham@gmail.com-20130803143008-c7806tkych1tp6fc
Initial import into Bazaar

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
# English (United Kingdom) translation for editra
 
2
# Copyright (c) 2008 Rosetta Contributors and Canonical Ltd 2008
 
3
# This file is distributed under the same license as the editra package.
 
4
# FIRST AUTHOR <EMAIL@ADDRESS>, 2008.
 
5
#
 
6
msgid ""
 
7
msgstr ""
 
8
"Project-Id-Version: editra\n"
 
9
"Report-Msgid-Bugs-To: \n"
 
10
"POT-Creation-Date: 2012-06-09 13:29-0500\n"
 
11
"PO-Revision-Date: 2009-10-22 22:46+0000\n"
 
12
"Last-Translator: Steven Sproat <Unknown>\n"
 
13
"Language-Team: English (United Kingdom) <en_GB@li.org>\n"
 
14
"MIME-Version: 1.0\n"
 
15
"Content-Type: text/plain; charset=UTF-8\n"
 
16
"Content-Transfer-Encoding: 8bit\n"
 
17
"X-Launchpad-Export-Date: 2012-06-09 18:21+0000\n"
 
18
"X-Generator: Launchpad (build 15376)\n"
 
19
 
 
20
#: ../../src/ed_search.py:486
 
21
#, python-format
 
22
msgid "\"%s\" was not found"
 
23
msgstr ""
 
24
 
 
25
#: ../../src/ed_search.py:700
 
26
#, python-format
 
27
msgid "%d matches were replaced."
 
28
msgstr ""
 
29
 
 
30
#: ../../src/util.py:386
 
31
#, python-format
 
32
msgid "%s Source File"
 
33
msgstr ""
 
34
 
 
35
#: ../../src/ed_main.py:584
 
36
#, python-format
 
37
msgid ""
 
38
"%s could not be found.\n"
 
39
"Perhaps it's been moved or deleted."
 
40
msgstr ""
 
41
 
 
42
#: ../../src/ed_stc.py:1854
 
43
#, python-format
 
44
msgid "%s does not exist"
 
45
msgstr ""
 
46
 
 
47
#: ../../src/ed_editv.py:558
 
48
#, python-format
 
49
msgid ""
 
50
"%s has been deleted since its last save point.\n"
 
51
"\n"
 
52
"Would you like to save it again?"
 
53
msgstr "%s has been deleted since its last save point."
 
54
 
 
55
#: ../../src/ed_editv.py:578
 
56
#, python-format
 
57
msgid ""
 
58
"%s has been modified by another application.\n"
 
59
"\n"
 
60
"Would you like to reload it?"
 
61
msgstr ""
 
62
 
 
63
#: ../../src/ed_menu.py:916
 
64
msgid "&About..."
 
65
msgstr ""
 
66
 
 
67
#: ../../src/ed_menu.py:600
 
68
msgid "&Close Tab"
 
69
msgstr ""
 
70
 
 
71
#: ../../src/ed_menu.py:660
 
72
msgid "&Copy"
 
73
msgstr ""
 
74
 
 
75
#: ../../src/ed_menu.py:730
 
76
msgid "&Edit"
 
77
msgstr ""
 
78
 
 
79
#: ../../src/ed_menu.py:646
 
80
msgid "&File"
 
81
msgstr ""
 
82
 
 
83
#: ../../src/ed_menu.py:714
 
84
msgid "&Find"
 
85
msgstr ""
 
86
 
 
87
#: ../../src/ed_menu.py:804
 
88
msgid "&Font"
 
89
msgstr ""
 
90
 
 
91
#: ../../src/ed_menu.py:774
 
92
msgid "&Goto Line"
 
93
msgstr ""
 
94
 
 
95
#: ../../src/ed_main.py:132 ../../src/ed_menu.py:930
 
96
msgid "&Help"
 
97
msgstr ""
 
98
 
 
99
#: ../../src/ed_menu.py:590
 
100
msgid "&New Tab"
 
101
msgstr ""
 
102
 
 
103
#: ../../src/ed_menu.py:595
 
104
msgid "&Open"
 
105
msgstr ""
 
106
 
 
107
#: ../../src/ed_menu.py:662
 
108
msgid "&Paste"
 
109
msgstr ""
 
110
 
 
111
#: ../../src/ed_menu.py:641
 
112
msgid "&Print"
 
113
msgstr ""
 
114
 
 
115
#: ../../src/ed_menu.py:717
 
116
msgid "&Quick Find"
 
117
msgstr ""
 
118
 
 
119
#: ../../src/ed_menu.py:607
 
120
msgid "&Save"
 
121
msgstr ""
 
122
 
 
123
#: ../../src/ed_menu.py:878
 
124
msgid "&Settings"
 
125
msgstr ""
 
126
 
 
127
#: ../../src/ed_menu.py:791
 
128
msgid "&Toolbar"
 
129
msgstr ""
 
130
 
 
131
#: ../../src/ed_menu.py:907
 
132
msgid "&Tools"
 
133
msgstr ""
 
134
 
 
135
#: ../../src/ed_menu.py:655
 
136
msgid "&Undo"
 
137
msgstr ""
 
138
 
 
139
#: ../../src/ed_menu.py:795
 
140
msgid "&View"
 
141
msgstr ""
 
142
 
 
143
#: ../../plugins/Launch/launch/cfgdlg.py:273
 
144
msgid "**Alias**"
 
145
msgstr ""
 
146
 
 
147
#: ../../plugins/Launch/launch/cfgdlg.py:273
 
148
msgid "**New Commandline**"
 
149
msgstr ""
 
150
 
 
151
#: ../../plugins/Launch/launch/cfgdlg.py:405
 
152
msgid "0-50000 (0 unlimited)"
 
153
msgstr ""
 
154
 
 
155
#: ../../src/eclib/filterdlg.py:76
 
156
msgid "<< Remove"
 
157
msgstr ""
 
158
 
 
159
#: ../../src/eclib/errdlg.py:320 ../../plugins/Launch/launch/launch.py:537
 
160
msgid "Abort"
 
161
msgstr ""
 
162
 
 
163
#: ../../src/ed_menu.py:917
 
164
msgid "About"
 
165
msgstr ""
 
166
 
 
167
#: ../../plugins/filebrowser/filebrowser/browser.py:524
 
168
msgid "Access Denied"
 
169
msgstr ""
 
170
 
 
171
#: ../../src/ed_cmdbar.py:802
 
172
#, python-format
 
173
msgid "Access Denied: %s"
 
174
msgstr ""
 
175
 
 
176
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:61
 
177
msgid "Actions"
 
178
msgstr ""
 
179
 
 
180
#: ../../src/ed_editv.py:514
 
181
#, python-format
 
182
msgid "Add '%s' to dictionary"
 
183
msgstr ""
 
184
 
 
185
#: ../../src/eclib/filterdlg.py:75
 
186
msgid "Add >>"
 
187
msgstr ""
 
188
 
 
189
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:114
 
190
msgid "Add File Filters"
 
191
msgstr ""
 
192
 
 
193
#: ../../plugins/Launch/launch/cfgdlg.py:203
 
194
msgid "Add a new executable"
 
195
msgstr ""
 
196
 
 
197
#: ../../src/ed_menu.py:676
 
198
msgid "Add a new line after the current line"
 
199
msgstr ""
 
200
 
 
201
#: ../../src/ed_menu.py:678
 
202
msgid "Add a new line before the current line"
 
203
msgstr ""
 
204
 
 
205
#: ../../src/ed_menu.py:706
 
206
msgid "Add and remove bookmarks"
 
207
msgstr ""
 
208
 
 
209
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:87
 
210
msgid "Add filter"
 
211
msgstr ""
 
212
 
 
213
#: ../../src/prefdlg.py:815
 
214
msgid "Adds extra scrolling room after last line"
 
215
msgstr ""
 
216
 
 
217
#: ../../src/prefdlg.py:193
 
218
msgid "Advanced"
 
219
msgstr ""
 
220
 
 
221
#: ../../plugins/Launch/launch/cfgdlg.py:583
 
222
msgid "Alias"
 
223
msgstr ""
 
224
 
 
225
#: ../../src/ed_log.py:165 ../../src/ed_log.py:244
 
226
msgid "All"
 
227
msgstr ""
 
228
 
 
229
#: ../../plugins/codebrowser/codebrowser/cbconfig.py:42
 
230
msgid "Alphabetically"
 
231
msgstr ""
 
232
 
 
233
#: ../../src/Editra.py:643
 
234
#, python-format
 
235
msgid ""
 
236
"An updated version of Editra is available\n"
 
237
"Would you like to download Editra %s now?"
 
238
msgstr ""
 
239
 
 
240
#: ../../src/prefdlg.py:801
 
241
msgid "AntiAliasing"
 
242
msgstr ""
 
243
 
 
244
#: ../../src/prefdlg.py:187
 
245
msgid "Appearance"
 
246
msgstr ""
 
247
 
 
248
#: ../../src/prefdlg.py:1820
 
249
msgid "Apply"
 
250
msgstr ""
 
251
 
 
252
#: ../../src/eclib/filemgrdlg.py:167
 
253
#, python-format
 
254
msgid "Are you sure want to delete %s?"
 
255
msgstr ""
 
256
 
 
257
#: ../../src/plugdlg.py:1047
 
258
#, python-format
 
259
msgid ""
 
260
"Are you sure you want to uninstall %s?\n"
 
261
"This cannot be undone."
 
262
msgstr ""
 
263
 
 
264
#: ../../plugins/Launch/launch/launch.py:172
 
265
msgid "Arguments"
 
266
msgstr ""
 
267
 
 
268
#: ../../src/style_editor.py:765
 
269
msgid "Attributes"
 
270
msgstr ""
 
271
 
 
272
#: ../../plugins/Launch/launch/cfgdlg.py:396
 
273
msgid "Audible feedback when errors are detected"
 
274
msgstr ""
 
275
 
 
276
#: ../../src/ed_main.py:1251
 
277
msgid "Aui Pane Navigator"
 
278
msgstr ""
 
279
 
 
280
#: ../../src/plugdlg.py:933 ../../src/plugdlg.py:1119
 
281
#, python-format
 
282
msgid "Author: %s"
 
283
msgstr ""
 
284
 
 
285
#: ../../src/ed_menu.py:863 ../../src/prefdlg.py:991
 
286
msgid "Auto-Completion"
 
287
msgstr ""
 
288
 
 
289
#: ../../src/ed_menu.py:865 ../../src/prefdlg.py:1001
 
290
msgid "Auto-Indent"
 
291
msgstr ""
 
292
 
 
293
#: ../../src/perspective.py:86
 
294
msgid "Automatic"
 
295
msgstr ""
 
296
 
 
297
#: ../../src/prefdlg.py:543
 
298
msgid "Automatically Backup Files"
 
299
msgstr ""
 
300
 
 
301
#: ../../plugins/Launch/launch/cfgdlg.py:393
 
302
msgid "Automatically clear output buffer between runs"
 
303
msgstr ""
 
304
 
 
305
#: ../../src/prefdlg.py:518
 
306
msgid "Automatically reload files when changes are detected on disk"
 
307
msgstr ""
 
308
 
 
309
#: ../../plugins/Launch/launch/cfgdlg.py:544
 
310
msgid "Automatically save all open files before running"
 
311
msgstr ""
 
312
 
 
313
#: ../../plugins/Launch/launch/cfgdlg.py:541
 
314
msgid "Automatically save current file before running"
 
315
msgstr ""
 
316
 
 
317
#: ../../src/perspective.py:87
 
318
msgid "Automatically save/use window state from last session"
 
319
msgstr ""
 
320
 
 
321
#: ../../src/prefdlg.py:778
 
322
msgid "Automatically trim whitespace on save"
 
323
msgstr ""
 
324
 
 
325
#: ../../src/style_editor.py:754 ../../plugins/Launch/launch/cfgdlg.py:424
 
326
msgid "Background"
 
327
msgstr ""
 
328
 
 
329
#: ../../src/prefdlg.py:784
 
330
msgid "Backspace Unindents"
 
331
msgstr ""
 
332
 
 
333
#: ../../src/prefdlg.py:549
 
334
msgid "Backup Path:"
 
335
msgstr ""
 
336
 
 
337
#: ../../src/prefdlg.py:546
 
338
msgid "Backup buffer to file periodically"
 
339
msgstr ""
 
340
 
 
341
#: ../../src/prefdlg.py:566
 
342
msgid "Backup file suffix:"
 
343
msgstr ""
 
344
 
 
345
#: ../../src/prefdlg.py:1794
 
346
msgid "Binding"
 
347
msgstr ""
 
348
 
 
349
#: ../../src/prefdlg.py:2214
 
350
msgid "Black/White"
 
351
msgstr ""
 
352
 
 
353
#: ../../src/ed_bookmark.py:273
 
354
msgid "Bookmark"
 
355
msgstr ""
 
356
 
 
357
#: ../../plugins/filebrowser/filebrowser/browser.py:644
 
358
msgid "Bookmark Selected Path(s)"
 
359
msgstr ""
 
360
 
 
361
#: ../../src/ed_bookmark.py:291
 
362
#, python-format
 
363
msgid "Bookmark%d"
 
364
msgstr ""
 
365
 
 
366
#: ../../src/ed_bookmark.py:79 ../../src/ed_menu.py:705
 
367
msgid "Bookmarks"
 
368
msgstr ""
 
369
 
 
370
#: ../../src/ed_menu.py:868 ../../src/prefdlg.py:971
 
371
msgid "Bracket Highlighting"
 
372
msgstr ""
 
373
 
 
374
#: ../../plugins/Launch/launch/cfgdlg.py:600
 
375
msgid "Browse..."
 
376
msgstr ""
 
377
 
 
378
#: ../../src/ed_menu.py:925
 
379
msgid "Bug Tracker..."
 
380
msgstr ""
 
381
 
 
382
#: ../../src/ed_cmdbar.py:599
 
383
#, python-format
 
384
msgid "Can't change directory to: %s"
 
385
msgstr ""
 
386
 
 
387
#: ../../src/ed_search.py:1339 ../../src/style_editor.py:86
 
388
#: ../../src/updater.py:582
 
389
msgid "Cancel"
 
390
msgstr ""
 
391
 
 
392
#: ../../src/updater.py:662
 
393
msgid "Canceled"
 
394
msgstr ""
 
395
 
 
396
#: ../../src/prefdlg.py:817
 
397
msgid "Caret Width:"
 
398
msgstr ""
 
399
 
 
400
#: ../../src/ed_statbar.py:193
 
401
msgid "Change Encoding"
 
402
msgstr ""
 
403
 
 
404
#: ../../src/ed_menu.py:805
 
405
msgid "Change Font Settings"
 
406
msgstr ""
 
407
 
 
408
#: ../../src/ed_statbar.py:62 ../../src/ed_statbar.py:65
 
409
#: ../../src/ed_statbar.py:68
 
410
#, python-format
 
411
msgid "Change line endings to %s"
 
412
msgstr ""
 
413
 
 
414
#: ../../src/ed_statbar.py:192
 
415
msgid "Change the encoding of the current document."
 
416
msgstr ""
 
417
 
 
418
#: ../../src/perspective.py:146
 
419
#, python-format
 
420
msgid "Change view to \"%s\""
 
421
msgstr ""
 
422
 
 
423
#: ../../src/prefdlg.py:283
 
424
msgid "Changes made in this dialog are saved in your current profile. Some Items such as Language require the program to be restarted before taking effect."
 
425
msgstr ""
 
426
 
 
427
#: ../../src/plugdlg.py:234
 
428
msgid "Changes will take affect once the program has been restarted"
 
429
msgstr ""
 
430
 
 
431
#: ../../src/prefdlg.py:1576
 
432
msgid "Check"
 
433
msgstr ""
 
434
 
 
435
#: ../../src/prefdlg.py:414
 
436
msgid "Check for updates on startup"
 
437
msgstr ""
 
438
 
 
439
#: ../../src/prefdlg.py:513
 
440
msgid "Check if on disk file has been modified by others"
 
441
msgstr ""
 
442
 
 
443
#: ../../src/prefdlg.py:584
 
444
msgid "Check spelling while typing"
 
445
msgstr ""
 
446
 
 
447
#: ../../src/prefdlg.py:1602
 
448
msgid "Checking..."
 
449
msgstr ""
 
450
 
 
451
#: ../../src/eclib/finddlg.py:810
 
452
msgid "Choose Folder"
 
453
msgstr ""
 
454
 
 
455
#: ../../src/eclib/finddlg.py:1142
 
456
msgid "Choose Search Folder"
 
457
msgstr ""
 
458
 
 
459
#: ../../src/ed_main.py:679
 
460
msgid "Choose a Save Location"
 
461
msgstr ""
 
462
 
 
463
#: ../../src/ed_pages.py:170
 
464
msgid "Choose an Encoding"
 
465
msgstr ""
 
466
 
 
467
#: ../../plugins/Launch/launch/cfgdlg.py:609
 
468
msgid "Choose and executable"
 
469
msgstr ""
 
470
 
 
471
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
472
msgid "Class Definitions"
 
473
msgstr ""
 
474
 
 
475
#: ../../src/ed_log.py:139 ../../src/ed_search.py:1343
 
476
#: ../../plugins/Launch/launch/launch.py:181
 
477
#: ../../plugins/PyShell/PyShell/__init__.py:112
 
478
msgid "Clear"
 
479
msgstr ""
 
480
 
 
481
#: ../../plugins/Launch/launch/cfgdlg.py:580
 
482
msgid "Click on an item to edit"
 
483
msgstr ""
 
484
 
 
485
#: ../../src/ed_editv.py:281
 
486
#, python-format
 
487
msgid "Close \"%s\""
 
488
msgstr ""
 
489
 
 
490
#: ../../src/ed_editv.py:283
 
491
msgid "Close All"
 
492
msgstr ""
 
493
 
 
494
#: ../../src/ed_shelf.py:173
 
495
#, python-format
 
496
msgid "Close All '%s'"
 
497
msgstr ""
 
498
 
 
499
#: ../../src/ed_menu.py:604
 
500
msgid "Close All Tabs"
 
501
msgstr ""
 
502
 
 
503
#: ../../src/ed_menu.py:601
 
504
msgid "Close Current Tab"
 
505
msgstr ""
 
506
 
 
507
#: ../../src/ed_editv.py:282
 
508
msgid "Close Other Tabs"
 
509
msgstr ""
 
510
 
 
511
#: ../../src/ed_menu.py:603
 
512
msgid "Close Window"
 
513
msgstr ""
 
514
 
 
515
#: ../../src/ed_menu.py:605
 
516
msgid "Close all open tabs"
 
517
msgstr ""
 
518
 
 
519
#: ../../src/ed_menu.py:603
 
520
msgid "Close the current window"
 
521
msgstr ""
 
522
 
 
523
#: ../../src/prefdlg.py:714
 
524
msgid "Code"
 
525
msgstr ""
 
526
 
 
527
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:92
 
528
msgid "Code Browser"
 
529
msgstr ""
 
530
 
 
531
#: ../../src/ed_menu.py:765 ../../src/ed_menu.py:870 ../../src/prefdlg.py:973
 
532
msgid "Code Folding"
 
533
msgstr ""
 
534
 
 
535
#: ../../src/ed_menu.py:766
 
536
msgid "Code folding toggle actions"
 
537
msgstr ""
 
538
 
 
539
#: ../../plugins/codebrowser/codebrowser/__init__.py:48
 
540
#: ../../plugins/codebrowser/codebrowser/__init__.py:95
 
541
msgid "CodeBrowser"
 
542
msgstr ""
 
543
 
 
544
#: ../../src/style_editor.py:737
 
545
msgid "Color"
 
546
msgstr "Colour"
 
547
 
 
548
#: ../../src/ed_menu.py:873
 
549
msgid "Color Highlight Code Syntax"
 
550
msgstr "Colour Highlight Code Syntax"
 
551
 
 
552
#: ../../src/prefdlg.py:1141
 
553
msgid "Color Scheme"
 
554
msgstr "Colour Scheme"
 
555
 
 
556
#: ../../plugins/PyShell/PyShell/__init__.py:108
 
557
msgid "Color Scheme:"
 
558
msgstr ""
 
559
 
 
560
#: ../../src/prefdlg.py:2216
 
561
msgid "Colour/Default"
 
562
msgstr ""
 
563
 
 
564
#: ../../src/prefdlg.py:2215
 
565
msgid "Colour/White"
 
566
msgstr ""
 
567
 
 
568
#: ../../src/ed_menu.py:671
 
569
msgid "Column Edit"
 
570
msgstr ""
 
571
 
 
572
#: ../../src/ed_cmdbar.py:438
 
573
msgid "Command"
 
574
msgstr ""
 
575
 
 
576
#: ../../src/ed_menu.py:699
 
577
msgid "Commands that affect an entire line"
 
578
msgstr ""
 
579
 
 
580
#: ../../src/prefdlg.py:1386
 
581
msgid "Configuration"
 
582
msgstr ""
 
583
 
 
584
#: ../../src/plugdlg.py:195
 
585
msgid "Configuration Changes Made"
 
586
msgstr ""
 
587
 
 
588
#: ../../src/plugdlg.py:120 ../../src/plugdlg.py:942
 
589
msgid "Configure"
 
590
msgstr ""
 
591
 
 
592
#: ../../src/ed_menu.py:638
 
593
msgid "Configure Printer"
 
594
msgstr ""
 
595
 
 
596
#: ../../src/ed_menu.py:819
 
597
msgid "Convert selected text to all lowercase letters"
 
598
msgstr ""
 
599
 
 
600
#: ../../src/ed_menu.py:817
 
601
msgid "Convert selected text to all uppercase letters"
 
602
msgstr ""
 
603
 
 
604
#: ../../src/ed_menu.py:831
 
605
msgid "Convert spaces to tabs in selected/all text"
 
606
msgstr ""
 
607
 
 
608
#: ../../src/ed_menu.py:833
 
609
msgid "Convert tabs to spaces in selected/all text"
 
610
msgstr ""
 
611
 
 
612
#: ../../src/ed_editv.py:466 ../../src/ed_toolbar.py:88
 
613
msgid "Copy"
 
614
msgstr ""
 
615
 
 
616
#: ../../src/ed_menu.py:685
 
617
msgid "Copy Current Line"
 
618
msgstr ""
 
619
 
 
620
#: ../../src/ed_editv.py:285
 
621
msgid "Copy Filename"
 
622
msgstr ""
 
623
 
 
624
#: ../../src/ed_editv.py:286
 
625
msgid "Copy Full Path"
 
626
msgstr ""
 
627
 
 
628
#: ../../src/ed_menu.py:684
 
629
msgid "Copy Line"
 
630
msgstr ""
 
631
 
 
632
#: ../../src/ed_menu.py:661 ../../src/ed_toolbar.py:89
 
633
msgid "Copy Selected Text to Clipboard"
 
634
msgstr ""
 
635
 
 
636
#: ../../src/ed_main.py:1572
 
637
msgid "Copyright"
 
638
msgstr ""
 
639
 
 
640
#: ../../src/eclib/finddlg.py:865
 
641
msgid "Count"
 
642
msgstr ""
 
643
 
 
644
#: ../../plugins/filebrowser/filebrowser/browser.py:656
 
645
#: ../../plugins/filebrowser/filebrowser/browser.py:678
 
646
#, python-format
 
647
msgid "Create Archive of \"%s\""
 
648
msgstr ""
 
649
 
 
650
#: ../../src/style_editor.py:207
 
651
msgid "Create a new style theme"
 
652
msgstr ""
 
653
 
 
654
#: ../../src/eclib/infodlg.py:193
 
655
msgid "Created"
 
656
msgstr ""
 
657
 
 
658
#: ../../src/ed_menu.py:658
 
659
msgid "Cu&t"
 
660
msgstr ""
 
661
 
 
662
#: ../../src/eclib/finddlg.py:732
 
663
msgid "Current Directory"
 
664
msgstr ""
 
665
 
 
666
#: ../../src/eclib/finddlg.py:731
 
667
msgid "Current Document"
 
668
msgstr ""
 
669
 
 
670
#: ../../src/ed_cmdbar.py:128
 
671
msgid "Customize"
 
672
msgstr ""
 
673
 
 
674
#: ../../src/ed_main.py:1448
 
675
msgid "Customize Menu"
 
676
msgstr ""
 
677
 
 
678
#: ../../src/ed_menu.py:541
 
679
msgid "Customize the items shown in this menu."
 
680
msgstr ""
 
681
 
 
682
#: ../../src/ed_menu.py:540
 
683
msgid "Customize..."
 
684
msgstr ""
 
685
 
 
686
#: ../../src/ed_editv.py:465 ../../src/ed_toolbar.py:86
 
687
msgid "Cut"
 
688
msgstr ""
 
689
 
 
690
#: ../../src/ed_menu.py:681
 
691
msgid "Cut Current Line"
 
692
msgstr ""
 
693
 
 
694
#: ../../src/ed_menu.py:680
 
695
msgid "Cut Line"
 
696
msgstr ""
 
697
 
 
698
#: ../../src/ed_menu.py:659 ../../src/ed_toolbar.py:87
 
699
msgid "Cut Selected Text from File"
 
700
msgstr ""
 
701
 
 
702
#: ../../src/ed_menu.py:666
 
703
msgid "Cycle Clipboard"
 
704
msgstr ""
 
705
 
 
706
#: ../../src/ed_menu.py:667
 
707
msgid "Cycle through recent clipboard text"
 
708
msgstr ""
 
709
 
 
710
#: ../../src/ed_search.py:66 ../../src/ed_search.py:70
 
711
msgid "DECODING ERROR"
 
712
msgstr ""
 
713
 
 
714
#: ../../src/ed_main.py:795 ../../src/ed_main.py:797 ../../src/ed_main.py:814
 
715
#: ../../src/ed_main.py:826 ../../src/ed_session.py:277
 
716
#: ../../plugins/Launch/launch/cfgdlg.py:191
 
717
msgid "Default"
 
718
msgstr ""
 
719
 
 
720
#: ../../src/prefdlg.py:796
 
721
msgid "Default EOL Mode"
 
722
msgstr ""
 
723
 
 
724
#: ../../src/prefdlg.py:964
 
725
msgid "Default Lexer"
 
726
msgstr ""
 
727
 
 
728
#: ../../src/prefdlg.py:1244
 
729
msgid "Default Perspective"
 
730
msgstr ""
 
731
 
 
732
#: ../../src/prefdlg.py:962
 
733
msgid "Default highlighing for new documents"
 
734
msgstr ""
 
735
 
 
736
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
737
msgid "Defines"
 
738
msgstr ""
 
739
 
 
740
#: ../../src/ed_bookmark.py:142 ../../src/ed_session.py:186
 
741
#: ../../src/prefdlg.py:1763
 
742
msgid "Delete"
 
743
msgstr ""
 
744
 
 
745
#: ../../src/ed_bookmark.py:145
 
746
msgid "Delete All"
 
747
msgstr ""
 
748
 
 
749
#: ../../src/ed_bookmark.py:144
 
750
msgid "Delete Bookmark"
 
751
msgstr ""
 
752
 
 
753
#: ../../src/eclib/filemgrdlg.py:175
 
754
msgid "Delete Error"
 
755
msgstr ""
 
756
 
 
757
#: ../../src/eclib/filemgrdlg.py:168
 
758
msgid "Delete File?"
 
759
msgstr ""
 
760
 
 
761
#: ../../src/ed_menu.py:682
 
762
msgid "Delete Line"
 
763
msgstr ""
 
764
 
 
765
#: ../../src/perspective.py:294
 
766
msgid "Delete Perspective"
 
767
msgstr ""
 
768
 
 
769
#: ../../src/perspective.py:84
 
770
msgid "Delete Saved View"
 
771
msgstr ""
 
772
 
 
773
#: ../../src/ed_bookmark.py:148
 
774
msgid "Delete all bookmarks"
 
775
msgstr ""
 
776
 
 
777
#: ../../src/ed_menu.py:683
 
778
msgid "Delete the selected line(s)"
 
779
msgstr ""
 
780
 
 
781
#: ../../src/prefdlg.py:591
 
782
msgid "Dictionary:"
 
783
msgstr ""
 
784
 
 
785
#: ../../src/eclib/finddlg.py:822
 
786
msgid "Direction"
 
787
msgstr ""
 
788
 
 
789
#: ../../src/prefdlg.py:401
 
790
msgid "Disable Error Reporter"
 
791
msgstr ""
 
792
 
 
793
#: ../../src/prefdlg.py:1286
 
794
msgid "Display Font"
 
795
msgstr ""
 
796
 
 
797
#: ../../src/plugdlg.py:182
 
798
msgid "Do you wish to exit?"
 
799
msgstr ""
 
800
 
 
801
#: ../../src/ed_pages.py:985
 
802
#, python-format
 
803
msgid ""
 
804
"Do you wish to open all %d files in this directory?\n"
 
805
"\n"
 
806
"Warning: opening many files at once may cause the editor to temporarily  freeze."
 
807
msgstr ""
 
808
 
 
809
#: ../../src/prefdlg.py:189
 
810
msgid "Document"
 
811
msgstr ""
 
812
 
 
813
#: ../../src/eclib/finddlg.py:826
 
814
msgid "Down"
 
815
msgstr ""
 
816
 
 
817
#: ../../src/plugdlg.py:121 ../../src/plugdlg.py:451 ../../src/plugdlg.py:1097
 
818
#: ../../src/prefdlg.py:1571
 
819
msgid "Download"
 
820
msgstr ""
 
821
 
 
822
#: ../../src/plugdlg.py:474
 
823
msgid "Downloaded"
 
824
msgstr ""
 
825
 
 
826
#: ../../src/plugdlg.py:589 ../../src/updater.py:618
 
827
msgid "Downloading"
 
828
msgstr ""
 
829
 
 
830
#: ../../src/updater.py:581
 
831
#, python-format
 
832
msgid "Downloading To: %s"
 
833
msgstr ""
 
834
 
 
835
#: ../../src/Editra.py:649 ../../src/prefdlg.py:1613
 
836
msgid "Downloading Update"
 
837
msgstr ""
 
838
 
 
839
#: ../../src/updater.py:579
 
840
#, python-format
 
841
msgid "Downloading: %s"
 
842
msgstr ""
 
843
 
 
844
#: ../../src/plugdlg.py:181
 
845
msgid "Downloads are incomplete"
 
846
msgstr ""
 
847
 
 
848
#: ../../plugins/filebrowser/filebrowser/browser.py:655
 
849
msgid "Duplicate"
 
850
msgstr ""
 
851
 
 
852
#: ../../src/ed_menu.py:686
 
853
msgid "Duplicate Line"
 
854
msgstr ""
 
855
 
 
856
#: ../../src/ed_menu.py:687
 
857
msgid "Duplicate the current line"
 
858
msgstr ""
 
859
 
 
860
#: ../../src/ed_menu.py:643
 
861
msgid "E&xit"
 
862
msgstr ""
 
863
 
 
864
#: ../../src/ed_menu.py:850
 
865
msgid "EOL Mode"
 
866
msgstr ""
 
867
 
 
868
#: ../../src/ed_main.py:629
 
869
#, python-format
 
870
msgid "ERROR: %s"
 
871
msgstr ""
 
872
 
 
873
#: ../../src/ed_main.py:695
 
874
#, python-format
 
875
msgid "ERROR: Failed to save %s"
 
876
msgstr ""
 
877
 
 
878
#: ../../src/prefdlg.py:975
 
879
msgid "Edge Guide"
 
880
msgstr ""
 
881
 
 
882
#: ../../plugins/filebrowser/filebrowser/browser.py:640
 
883
msgid "Edit"
 
884
msgstr ""
 
885
 
 
886
#: ../../src/ed_menu.py:727
 
887
msgid "Edit Preferences / Settings"
 
888
msgstr ""
 
889
 
 
890
#: ../../src/ed_menu.py:896
 
891
msgid "Edit the way syntax is highlighted"
 
892
msgstr ""
 
893
 
 
894
#: ../../src/ed_menu.py:758
 
895
msgid "Editor"
 
896
msgstr ""
 
897
 
 
898
#: ../../src/ed_menu.py:889
 
899
msgid "Editor Command"
 
900
msgstr ""
 
901
 
 
902
#: ../../src/prefdlg.py:385
 
903
msgid "Editor Mode"
 
904
msgstr ""
 
905
 
 
906
#: ../../plugins/Launch/launch/cfgdlg.py:539
 
907
msgid "Editor Options"
 
908
msgstr ""
 
909
 
 
910
#: ../../src/ed_log.py:80
 
911
msgid "Editra Log"
 
912
msgstr ""
 
913
 
 
914
#: ../../src/ed_mdlg.py:85
 
915
#, python-format
 
916
msgid ""
 
917
"Editra could not open %(filename)s\n"
 
918
"\n"
 
919
"Error:\n"
 
920
"%(errormsg)s"
 
921
msgstr ""
 
922
 
 
923
#: ../../src/ed_main.py:1562
 
924
msgid "Editra is a programmers text editor."
 
925
msgstr ""
 
926
 
 
927
#: ../../src/ed_menu.py:924
 
928
msgid "Editra translations project"
 
929
msgstr ""
 
930
 
 
931
#: ../../src/ed_main.py:433
 
932
msgid "Editra: Open"
 
933
msgstr ""
 
934
 
 
935
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:59
 
936
msgid "Elements"
 
937
msgstr ""
 
938
 
 
939
#: ../../src/ed_pages.py:374
 
940
msgid "Empty File"
 
941
msgstr ""
 
942
 
 
943
#: ../../src/plugdlg.py:934
 
944
msgid "Enable"
 
945
msgstr ""
 
946
 
 
947
#: ../../src/prefdlg.py:1003
 
948
msgid "Enable Vi Emulation"
 
949
msgstr ""
 
950
 
 
951
#: ../../src/ed_menu.py:672
 
952
msgid "Enable column edit mode."
 
953
msgstr ""
 
954
 
 
955
#: ../../src/prefdlg.py:601
 
956
msgid "Enchant Path:"
 
957
msgstr ""
 
958
 
 
959
#: ../../src/prefdlg.py:501
 
960
msgid "Encoding to try when auto detection fails"
 
961
msgstr ""
 
962
 
 
963
#: ../../src/ed_menu.py:851
 
964
msgid "End of line character formatting"
 
965
msgstr ""
 
966
 
 
967
#: ../../src/eclib/colorsetter.py:84
 
968
msgid "Enter a hex color value"
 
969
msgstr "Enter a hex colour value"
 
970
 
 
971
#: ../../plugins/filebrowser/filebrowser/browser.py:826
 
972
msgid "Enter file name:"
 
973
msgstr ""
 
974
 
 
975
#: ../../plugins/filebrowser/filebrowser/browser.py:817
 
976
msgid "Enter folder name:"
 
977
msgstr ""
 
978
 
 
979
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:114
 
980
msgid "Enter new filter"
 
981
msgstr ""
 
982
 
 
983
#: ../../src/style_editor.py:364
 
984
msgid "Enter style sheet name"
 
985
msgstr ""
 
986
 
 
987
#: ../../src/prefdlg.py:1962
 
988
msgid "Enter the name of the new key profile"
 
989
msgstr ""
 
990
 
 
991
#: ../../src/ed_editv.py:221 ../../src/plugdlg.py:825
 
992
msgid "Error"
 
993
msgstr ""
 
994
 
 
995
#: ../../src/ed_mdlg.py:87
 
996
msgid "Error Opening File"
 
997
msgstr ""
 
998
 
 
999
#: ../../plugins/Launch/launch/cfgdlg.py:434
 
1000
msgid "Error Text"
 
1001
msgstr ""
 
1002
 
 
1003
#: ../../src/eclib/errdlg.py:316
 
1004
msgid "Error Traceback:"
 
1005
msgstr ""
 
1006
 
 
1007
#: ../../src/ed_search.py:606
 
1008
#, python-format
 
1009
msgid ""
 
1010
"Error in regular expression expansion.The replace action cannot be completed.\n"
 
1011
"\n"
 
1012
"Error Message: %s"
 
1013
msgstr ""
 
1014
 
 
1015
#: ../../src/dev_tool.py:260
 
1016
msgid ""
 
1017
"Error: Something unexpected happend\n"
 
1018
"Help improve Editra by clicking on Report Error\n"
 
1019
"to send the Error Traceback shown below."
 
1020
msgstr ""
 
1021
 
 
1022
#: ../../src/ed_main.py:1499
 
1023
#, python-format
 
1024
msgid "Error: Unable to open %s"
 
1025
msgstr ""
 
1026
 
 
1027
#: ../../src/plugdlg.py:130
 
1028
msgid "Errors"
 
1029
msgstr ""
 
1030
 
 
1031
#: ../../plugins/Launch/launch/cfgdlg.py:584
 
1032
msgid "Executable Commands"
 
1033
msgstr ""
 
1034
 
 
1035
#: ../../plugins/Launch/launch/cfgdlg.py:176
 
1036
msgid "Executables"
 
1037
msgstr ""
 
1038
 
 
1039
#: ../../plugins/Launch/launch/launch.py:703
 
1040
msgid "Exit Code"
 
1041
msgstr ""
 
1042
 
 
1043
#: ../../src/ed_menu.py:643
 
1044
msgid "Exit the Program"
 
1045
msgstr ""
 
1046
 
 
1047
#: ../../src/eclib/errdlg.py:321
 
1048
msgid "Exit the application"
 
1049
msgstr ""
 
1050
 
 
1051
#: ../../src/prefdlg.py:994
 
1052
msgid "Extended Auto-Comp"
 
1053
msgstr ""
 
1054
 
 
1055
#: ../../src/prefdlg.py:2103
 
1056
msgid "Extensions (space separated, no dots)"
 
1057
msgstr ""
 
1058
 
 
1059
#: ../../src/ed_menu.py:854
 
1060
msgid "F&ormat"
 
1061
msgstr ""
 
1062
 
 
1063
#: ../../src/updater.py:700
 
1064
msgid "Failed"
 
1065
msgstr ""
 
1066
 
 
1067
#: ../../plugins/filebrowser/filebrowser/browser.py:831
 
1068
msgid "Failed to create file"
 
1069
msgstr ""
 
1070
 
 
1071
#: ../../plugins/filebrowser/filebrowser/browser.py:822
 
1072
msgid "Failed to create folder"
 
1073
msgstr ""
 
1074
 
 
1075
#: ../../src/ed_print.py:120
 
1076
msgid "Failed to create print preview"
 
1077
msgstr ""
 
1078
 
 
1079
#: ../../src/style_editor.py:381
 
1080
#, python-format
 
1081
msgid ""
 
1082
"Failed to delete style sheet:\n"
 
1083
"Error:\n"
 
1084
"%s"
 
1085
msgstr ""
 
1086
 
 
1087
#: ../../src/ed_main.py:849
 
1088
msgid "Failed to get control reference for printing"
 
1089
msgstr ""
 
1090
 
 
1091
#: ../../src/plugdlg.py:827
 
1092
#, python-format
 
1093
msgid "Failed to install %d plugins"
 
1094
msgstr ""
 
1095
 
 
1096
#: ../../src/prefdlg.py:677
 
1097
msgid "Failed to load Enchant"
 
1098
msgstr ""
 
1099
 
 
1100
#: ../../src/ed_pages.py:370
 
1101
#, python-format
 
1102
msgid ""
 
1103
"Failed to load the session: %(sessionname)s\n"
 
1104
"\n"
 
1105
"Error: %(error)s"
 
1106
msgstr ""
 
1107
 
 
1108
#: ../../src/ed_editv.py:219
 
1109
#, python-format
 
1110
msgid ""
 
1111
"Failed to reload %(filename)s:\n"
 
1112
"Error: %(errmsg)s"
 
1113
msgstr ""
 
1114
 
 
1115
#: ../../src/ed_editv.py:209
 
1116
#, python-format
 
1117
msgid ""
 
1118
"Failed to reload file\n"
 
1119
"\n"
 
1120
"Error:\n"
 
1121
"%s"
 
1122
msgstr ""
 
1123
 
 
1124
#: ../../src/ed_main.py:1231
 
1125
#, python-format
 
1126
msgid "Failed to reload the file with: %(encoding)s"
 
1127
msgstr ""
 
1128
 
 
1129
#: ../../src/ed_stc.py:1836
 
1130
#, python-format
 
1131
msgid "Failed to reload: %s"
 
1132
msgstr ""
 
1133
 
 
1134
#: ../../src/ed_mdlg.py:104
 
1135
#, python-format
 
1136
msgid ""
 
1137
"Failed to save file: %(filename)s\n"
 
1138
"\n"
 
1139
"Error:\n"
 
1140
"%(errormsg)s"
 
1141
msgstr ""
 
1142
 
 
1143
#: ../../src/ed_menu.py:926
 
1144
msgid "Feedback"
 
1145
msgstr ""
 
1146
 
 
1147
#: ../../src/prefdlg.py:540
 
1148
msgid "File Backups"
 
1149
msgstr ""
 
1150
 
 
1151
#: ../../plugins/filebrowser/filebrowser/browser.py:211
 
1152
msgid "File Browser"
 
1153
msgstr ""
 
1154
 
 
1155
#: ../../src/eclib/finddlg.py:735
 
1156
msgid "File Filters:"
 
1157
msgstr ""
 
1158
 
 
1159
#: ../../src/prefdlg.py:482
 
1160
msgid "File History Length"
 
1161
msgstr ""
 
1162
 
 
1163
#: ../../src/ed_bookmark.py:274
 
1164
msgid "File Location"
 
1165
msgstr ""
 
1166
 
 
1167
#: ../../src/ed_main.py:586
 
1168
msgid "File Not Found"
 
1169
msgstr ""
 
1170
 
 
1171
#: ../../src/prefdlg.py:529
 
1172
msgid "File Settings"
 
1173
msgstr ""
 
1174
 
 
1175
#: ../../src/eclib/infodlg.py:115
 
1176
msgid "File Stat Failed"
 
1177
msgstr ""
 
1178
 
 
1179
#: ../../plugins/Launch/launch/cfgdlg.py:171
 
1180
msgid "File Type"
 
1181
msgstr ""
 
1182
 
 
1183
#: ../../src/ed_stc.py:624
 
1184
#, python-format
 
1185
msgid "File backup performed: %s"
 
1186
msgstr ""
 
1187
 
 
1188
#: ../../src/ed_stc.py:1898
 
1189
msgid "File is Read Only and cannot be saved"
 
1190
msgstr ""
 
1191
 
 
1192
#: ../../src/ed_pages.py:212
 
1193
msgid ""
 
1194
"File is already open in an existing page.\n"
 
1195
"Do you wish to open it again?"
 
1196
msgstr ""
 
1197
 
 
1198
#: ../../src/ed_editv.py:210
 
1199
msgid "File read error"
 
1200
msgstr ""
 
1201
 
 
1202
#: ../../plugins/filebrowser/filebrowser/__init__.py:86
 
1203
msgid "FileBrowser"
 
1204
msgstr ""
 
1205
 
 
1206
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:45
 
1207
msgid "FileBrowser Config"
 
1208
msgstr ""
 
1209
 
 
1210
#: ../../src/prefdlg.py:306 ../../src/eclib/filemgrdlg.py:218
 
1211
msgid "Files"
 
1212
msgstr ""
 
1213
 
 
1214
#: ../../src/ed_search.py:1384
 
1215
#, python-format
 
1216
msgid "Files Searched: %d"
 
1217
msgstr ""
 
1218
 
 
1219
#: ../../src/prefdlg.py:1135
 
1220
msgid "Filetype Associations"
 
1221
msgstr ""
 
1222
 
 
1223
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:71
 
1224
msgid "Filters"
 
1225
msgstr ""
 
1226
 
 
1227
#: ../../src/ed_cmdbar.py:293 ../../src/ed_search.py:157
 
1228
#: ../../src/ed_toolbar.py:93 ../../src/eclib/finddlg.py:651
 
1229
#: ../../src/eclib/finddlg.py:787 ../../src/eclib/finddlg.py:863
 
1230
msgid "Find"
 
1231
msgstr ""
 
1232
 
 
1233
#: ../../src/ed_cmdbar.py:309 ../../src/eclib/finddlg.py:866
 
1234
msgid "Find All"
 
1235
msgstr ""
 
1236
 
 
1237
#: ../../src/ed_search.py:371
 
1238
msgid "Find Count"
 
1239
msgstr ""
 
1240
 
 
1241
#: ../../src/ed_menu.py:721
 
1242
msgid "Find Next"
 
1243
msgstr ""
 
1244
 
 
1245
#: ../../src/eclib/finddlg.py:832
 
1246
msgid "Find Options"
 
1247
msgstr ""
 
1248
 
 
1249
#: ../../src/ed_menu.py:719
 
1250
msgid "Find Previous"
 
1251
msgstr ""
 
1252
 
 
1253
#: ../../src/ed_menu.py:723
 
1254
msgid "Find Selected"
 
1255
msgstr ""
 
1256
 
 
1257
#: ../../src/ed_menu.py:714 ../../src/ed_toolbar.py:93
 
1258
msgid "Find Text"
 
1259
msgstr ""
 
1260
 
 
1261
#: ../../src/ed_menu.py:716 ../../src/ed_toolbar.py:95
 
1262
msgid "Find and Replace Text"
 
1263
msgstr ""
 
1264
 
 
1265
#: ../../src/eclib/finddlg.py:785
 
1266
msgid "Find what"
 
1267
msgstr ""
 
1268
 
 
1269
#: ../../src/ed_menu.py:715
 
1270
msgid "Find/R&eplace"
 
1271
msgstr ""
 
1272
 
 
1273
#: ../../src/ed_search.py:157 ../../src/ed_toolbar.py:94
 
1274
msgid "Find/Replace"
 
1275
msgstr ""
 
1276
 
 
1277
#: ../../src/updater.py:697
 
1278
msgid "Finished"
 
1279
msgstr ""
 
1280
 
 
1281
#: ../../src/plugdlg.py:477
 
1282
msgid "Finshed downloading plugins"
 
1283
msgstr ""
 
1284
 
 
1285
#: ../../src/util.py:374 ../../src/eclib/infodlg.py:292
 
1286
msgid "Folder"
 
1287
msgstr ""
 
1288
 
 
1289
#: ../../src/style_editor.py:786
 
1290
msgid "Font"
 
1291
msgstr ""
 
1292
 
 
1293
#: ../../src/style_editor.py:781
 
1294
msgid "Font Settings"
 
1295
msgstr ""
 
1296
 
 
1297
#: ../../src/style_editor.py:742 ../../plugins/Launch/launch/cfgdlg.py:421
 
1298
msgid "Foreground"
 
1299
msgstr ""
 
1300
 
 
1301
#: ../../src/prefdlg.py:841
 
1302
msgid "Format"
 
1303
msgstr ""
 
1304
 
 
1305
#: ../../src/ed_stc.py:1215
 
1306
msgid "Format EOL?"
 
1307
msgstr ""
 
1308
 
 
1309
#: ../../src/ed_menu.py:842 ../../src/ed_menu.py:845 ../../src/ed_menu.py:848
 
1310
#, python-format
 
1311
msgid "Format all EOL characters to %s Mode"
 
1312
msgstr ""
 
1313
 
 
1314
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
1315
msgid "Function Definitions"
 
1316
msgstr ""
 
1317
 
 
1318
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:58
 
1319
msgid "Functions"
 
1320
msgstr ""
 
1321
 
 
1322
#: ../../src/prefdlg.py:185 ../../src/prefdlg.py:713 ../../src/prefdlg.py:1015
 
1323
#: ../../plugins/Launch/launch/cfgdlg.py:131
 
1324
msgid "General"
 
1325
msgstr ""
 
1326
 
 
1327
#: ../../src/generator.py:284 ../../src/generator.py:667
 
1328
#: ../../src/generator.py:870
 
1329
#, python-format
 
1330
msgid "Generate %s"
 
1331
msgstr ""
 
1332
 
 
1333
#: ../../src/generator.py:114
 
1334
msgid "Generate Code and Documents"
 
1335
msgstr ""
 
1336
 
 
1337
#: ../../src/generator.py:285 ../../src/generator.py:871
 
1338
#, python-format
 
1339
msgid "Generate a %s version of the current document"
 
1340
msgstr ""
 
1341
 
 
1342
#: ../../src/generator.py:668
 
1343
#, python-format
 
1344
msgid "Generate an %s version of the current document"
 
1345
msgstr ""
 
1346
 
 
1347
#: ../../src/generator.py:113
 
1348
msgid "Generator"
 
1349
msgstr ""
 
1350
 
 
1351
#: ../../plugins/filebrowser/filebrowser/browser.py:649
 
1352
msgid "Get Info"
 
1353
msgstr ""
 
1354
 
 
1355
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1356
msgid "Global Variables"
 
1357
msgstr ""
 
1358
 
 
1359
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:398
 
1360
#, python-format
 
1361
msgid "Goto \"%s\""
 
1362
msgstr ""
 
1363
 
 
1364
#: ../../src/ed_cmdbar.py:471
 
1365
msgid "Goto Line"
 
1366
msgstr ""
 
1367
 
 
1368
#: ../../src/ed_menu.py:775
 
1369
msgid "Goto Line Number"
 
1370
msgstr ""
 
1371
 
 
1372
#: ../../src/ed_menu.py:776
 
1373
msgid "Goto Matching Brace"
 
1374
msgstr ""
 
1375
 
 
1376
#: ../../src/ed_menu.py:890
 
1377
msgid "Goto command buffer"
 
1378
msgstr ""
 
1379
 
 
1380
#: ../../src/ed_menu.py:780
 
1381
msgid "Goto next position in history."
 
1382
msgstr ""
 
1383
 
 
1384
#: ../../src/ed_menu.py:720
 
1385
msgid "Goto previous match"
 
1386
msgstr ""
 
1387
 
 
1388
#: ../../src/ed_menu.py:782
 
1389
msgid "Goto previous position in history."
 
1390
msgstr ""
 
1391
 
 
1392
#: ../../src/ed_menu.py:722
 
1393
msgid "Goto the next match"
 
1394
msgstr ""
 
1395
 
 
1396
#: ../../src/prefdlg.py:979
 
1397
msgid "Guide Column"
 
1398
msgstr ""
 
1399
 
 
1400
#: ../../src/ed_menu.py:869
 
1401
msgid "Highlight Brackets/Braces"
 
1402
msgstr ""
 
1403
 
 
1404
#: ../../src/ed_menu.py:745 ../../src/prefdlg.py:984
 
1405
msgid "Highlight Caret Line"
 
1406
msgstr ""
 
1407
 
 
1408
#: ../../src/ed_menu.py:746
 
1409
msgid "Highlight the background of the current line"
 
1410
msgstr ""
 
1411
 
 
1412
#: ../../src/ed_main.py:1564
 
1413
msgid "Homepage"
 
1414
msgstr ""
 
1415
 
 
1416
#: ../../src/prefdlg.py:1222
 
1417
msgid "Icon Theme"
 
1418
msgstr ""
 
1419
 
 
1420
#: ../../src/prefdlg.py:1267
 
1421
msgid "Icons"
 
1422
msgstr ""
 
1423
 
 
1424
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1425
msgid "Identities"
 
1426
msgstr ""
 
1427
 
 
1428
#: ../../src/ed_editv.py:511
 
1429
msgid "Ignore"
 
1430
msgstr ""
 
1431
 
 
1432
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:59
 
1433
msgid "Imports"
 
1434
msgstr ""
 
1435
 
 
1436
#: ../../src/ed_menu.py:811
 
1437
msgid "Indent Lines"
 
1438
msgstr ""
 
1439
 
 
1440
#: ../../src/prefdlg.py:770
 
1441
msgid "Indent Width"
 
1442
msgstr ""
 
1443
 
 
1444
#: ../../src/ed_menu.py:812
 
1445
msgid "Indent the selected lines"
 
1446
msgstr ""
 
1447
 
 
1448
#: ../../src/ed_menu.py:748 ../../src/prefdlg.py:987
 
1449
msgid "Indentation Guides"
 
1450
msgstr ""
 
1451
 
 
1452
#: ../../src/eclib/infodlg.py:58
 
1453
msgid "Info"
 
1454
msgstr ""
 
1455
 
 
1456
#: ../../plugins/Launch/launch/cfgdlg.py:441
 
1457
msgid "Info Text"
 
1458
msgstr ""
 
1459
 
 
1460
#: ../../src/prefdlg.py:1024
 
1461
msgid "Input Helpers"
 
1462
msgstr ""
 
1463
 
 
1464
#: ../../src/ed_menu.py:822
 
1465
msgid "Insert spaces instead of tab characters with tab key"
 
1466
msgstr ""
 
1467
 
 
1468
#: ../../src/plugdlg.py:122 ../../src/plugdlg.py:750
 
1469
msgid "Install"
 
1470
msgstr ""
 
1471
 
 
1472
#: ../../src/plugdlg.py:757
 
1473
msgid ""
 
1474
"Install the plugins for all users\n"
 
1475
" **requires administrative privileges**"
 
1476
msgstr ""
 
1477
 
 
1478
#: ../../src/plugdlg.py:754
 
1479
msgid "Install the plugins only for the current user"
 
1480
msgstr ""
 
1481
 
 
1482
#: ../../src/plugdlg.py:829
 
1483
msgid "Installation Error"
 
1484
msgstr ""
 
1485
 
 
1486
#: ../../src/prefdlg.py:1552
 
1487
msgid "Installed Version"
 
1488
msgstr ""
 
1489
 
 
1490
#: ../../src/ed_cmdbar.py:817
 
1491
msgid "Invalid Path"
 
1492
msgstr ""
 
1493
 
 
1494
#: ../../src/ed_search.py:412
 
1495
#, python-format
 
1496
msgid "Invalid expression \"%s\""
 
1497
msgstr ""
 
1498
 
 
1499
#: ../../src/ed_pages.py:969
 
1500
#, python-format
 
1501
msgid "Invalid file: %s"
 
1502
msgstr ""
 
1503
 
 
1504
#: ../../src/prefdlg.py:2217
 
1505
msgid "Inverse"
 
1506
msgstr ""
 
1507
 
 
1508
#: ../../src/ed_menu.py:689
 
1509
msgid "Join Lines"
 
1510
msgstr ""
 
1511
 
 
1512
#: ../../src/ed_menu.py:690
 
1513
msgid "Join the Selected Lines"
 
1514
msgstr ""
 
1515
 
 
1516
#: ../../plugins/filebrowser/filebrowser/browser.py:94
 
1517
msgid "Jump to Saved Path"
 
1518
msgstr ""
 
1519
 
 
1520
#: ../../src/prefdlg.py:1790
 
1521
msgid "Key"
 
1522
msgstr ""
 
1523
 
 
1524
#: ../../src/prefdlg.py:1759
 
1525
msgid "Key Profile"
 
1526
msgstr ""
 
1527
 
 
1528
#: ../../src/prefdlg.py:1670
 
1529
msgid "Keybindings"
 
1530
msgstr ""
 
1531
 
 
1532
#: ../../src/eclib/infodlg.py:182
 
1533
msgid "Kind"
 
1534
msgstr ""
 
1535
 
 
1536
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1537
msgid "Labels"
 
1538
msgstr ""
 
1539
 
 
1540
#: ../../src/prefdlg.py:419
 
1541
msgid "Language"
 
1542
msgstr ""
 
1543
 
 
1544
#: ../../src/prefdlg.py:1558
 
1545
msgid "Latest Version"
 
1546
msgstr ""
 
1547
 
 
1548
#: ../../plugins/Launch/launch/__init__.py:142
 
1549
msgid "Launch"
 
1550
msgstr ""
 
1551
 
 
1552
#: ../../plugins/Launch/launch/cfgdlg.py:99
 
1553
msgid "Launch Configuration"
 
1554
msgstr ""
 
1555
 
 
1556
#: ../../src/prefdlg.py:1272
 
1557
msgid "Layout"
 
1558
msgstr ""
 
1559
 
 
1560
#: ../../src/prefdlg.py:2101
 
1561
msgid "Lexer"
 
1562
msgstr ""
 
1563
 
 
1564
#: ../../src/ed_menu.py:544
 
1565
msgid "Lexers"
 
1566
msgstr ""
 
1567
 
 
1568
#: ../../src/prefdlg.py:678
 
1569
msgid "Library Error"
 
1570
msgstr ""
 
1571
 
 
1572
#: ../../src/ed_main.py:1566
 
1573
msgid "License: wxWindows (see COPYING.txt for full license)"
 
1574
msgstr ""
 
1575
 
 
1576
#: ../../plugins/Launch/launch/cfgdlg.py:402
 
1577
msgid "Line Buffering:"
 
1578
msgstr ""
 
1579
 
 
1580
#: ../../src/ed_menu.py:698
 
1581
msgid "Line Edit"
 
1582
msgstr ""
 
1583
 
 
1584
#: ../../src/ed_bookmark.py:275
 
1585
#: ../../plugins/codebrowser/codebrowser/cbconfig.py:44
 
1586
msgid "Line Number"
 
1587
msgstr ""
 
1588
 
 
1589
#: ../../src/ed_stc.py:764
 
1590
#, python-format
 
1591
msgid "Line: %(lnum)d  Column: %(cnum)d"
 
1592
msgstr ""
 
1593
 
 
1594
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:84
 
1595
msgid ""
 
1596
"List of files patterns to exclude from view\n"
 
1597
"The use of wildcards (*) are permitted."
 
1598
msgstr ""
 
1599
 
 
1600
#: ../../src/prefdlg.py:403
 
1601
msgid "Load Last Session"
 
1602
msgstr ""
 
1603
 
 
1604
#: ../../src/ed_menu.py:622
 
1605
msgid "Load Profile"
 
1606
msgstr ""
 
1607
 
 
1608
#: ../../src/ed_main.py:800 ../../src/ed_menu.py:631
 
1609
msgid "Load Session"
 
1610
msgstr ""
 
1611
 
 
1612
#: ../../src/ed_main.py:733 ../../src/ed_menu.py:623
 
1613
msgid "Load a Custom Profile"
 
1614
msgstr ""
 
1615
 
 
1616
#: ../../src/ed_menu.py:632
 
1617
msgid "Load a saved session."
 
1618
msgstr ""
 
1619
 
 
1620
#: ../../src/ed_menu.py:625
 
1621
msgid "Load and save custom Profiles"
 
1622
msgstr ""
 
1623
 
 
1624
#: ../../src/ed_menu.py:634
 
1625
msgid "Load and save custom sessions."
 
1626
msgstr ""
 
1627
 
 
1628
#: ../../src/prefdlg.py:405
 
1629
msgid "Load files from last session on startup"
 
1630
msgstr ""
 
1631
 
 
1632
#: ../../src/ed_main.py:739
 
1633
#, python-format
 
1634
msgid "Loaded Profile: %s"
 
1635
msgstr ""
 
1636
 
 
1637
#: ../../src/ed_main.py:827
 
1638
#, python-format
 
1639
msgid "Loaded Session: %s"
 
1640
msgstr ""
 
1641
 
 
1642
#: ../../src/prefdlg.py:440
 
1643
msgid "Locale Settings"
 
1644
msgstr ""
 
1645
 
 
1646
#: ../../plugins/Launch/launch/launch.py:162
 
1647
msgid "Lock File"
 
1648
msgstr ""
 
1649
 
 
1650
#: ../../src/eclib/finddlg.py:813
 
1651
msgid "Look in"
 
1652
msgstr ""
 
1653
 
 
1654
#: ../../src/ed_menu.py:818
 
1655
msgid "Lowercase"
 
1656
msgstr ""
 
1657
 
 
1658
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
 
1659
msgid "Macro Definitions"
 
1660
msgstr ""
 
1661
 
 
1662
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1663
msgid "Macros"
 
1664
msgstr ""
 
1665
 
 
1666
#: ../../src/prefdlg.py:1262
 
1667
msgid "Main display font for various UI components"
 
1668
msgstr ""
 
1669
 
 
1670
#: ../../src/ed_menu.py:894
 
1671
msgid "Manage, Download, and Install plugins"
 
1672
msgstr ""
 
1673
 
 
1674
#: ../../src/ed_menu.py:546
 
1675
msgid "Manually Set a Lexer/Syntax"
 
1676
msgstr ""
 
1677
 
 
1678
#: ../../src/ed_cmdbar.py:315
 
1679
msgid "Match Case"
 
1680
msgstr ""
 
1681
 
 
1682
#: ../../src/eclib/finddlg.py:834
 
1683
msgid "Match case"
 
1684
msgstr ""
 
1685
 
 
1686
#: ../../src/ed_main.py:1427 ../../src/ed_menu.py:771
 
1687
msgid "Maximize Editor"
 
1688
msgstr ""
 
1689
 
 
1690
#: ../../src/prefdlg.py:1772
 
1691
msgid "Menu"
 
1692
msgstr ""
 
1693
 
 
1694
#: ../../plugins/Launch/launch/cfgdlg.py:133
 
1695
msgid "Misc"
 
1696
msgstr ""
 
1697
 
 
1698
#: ../../src/ed_pages.py:393
 
1699
msgid "Missing session files"
 
1700
msgstr ""
 
1701
 
 
1702
#: ../../src/ed_stc.py:1212
 
1703
msgid ""
 
1704
"Mixed EOL characters detected.\n"
 
1705
"\n"
 
1706
"Would you like to format them to all be the same?"
 
1707
msgstr ""
 
1708
 
 
1709
#: ../../src/eclib/infodlg.py:163 ../../src/eclib/infodlg.py:195
 
1710
msgid "Modified"
 
1711
msgstr ""
 
1712
 
 
1713
#: ../../src/prefdlg.py:1782
 
1714
msgid "Modifier 1"
 
1715
msgstr ""
 
1716
 
 
1717
#: ../../src/prefdlg.py:1786
 
1718
msgid "Modifier 2"
 
1719
msgstr ""
 
1720
 
 
1721
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:58
 
1722
msgid "Modules"
 
1723
msgstr ""
 
1724
 
 
1725
#: ../../src/ed_menu.py:696
 
1726
msgid "Move Current Line Down"
 
1727
msgstr ""
 
1728
 
 
1729
#: ../../src/ed_menu.py:693
 
1730
msgid "Move Current Line Up"
 
1731
msgstr ""
 
1732
 
 
1733
#: ../../src/ed_editv.py:278
 
1734
msgid "Move Tab to New Window"
 
1735
msgstr ""
 
1736
 
 
1737
#: ../../src/ed_menu.py:777
 
1738
msgid "Move caret matching brace"
 
1739
msgstr ""
 
1740
 
 
1741
#: ../../src/ed_menu.py:697
 
1742
msgid "Move the current line down"
 
1743
msgstr ""
 
1744
 
 
1745
#: ../../src/ed_menu.py:694
 
1746
msgid "Move the current line up"
 
1747
msgstr ""
 
1748
 
 
1749
#: ../../plugins/filebrowser/filebrowser/browser.py:65
 
1750
msgid "Move to Recycle Bin"
 
1751
msgstr ""
 
1752
 
 
1753
#: ../../plugins/filebrowser/filebrowser/browser.py:67
 
1754
msgid "Move to Trash"
 
1755
msgstr ""
 
1756
 
 
1757
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
 
1758
msgid "Namespaces"
 
1759
msgstr ""
 
1760
 
 
1761
#: ../../src/prefdlg.py:191
 
1762
msgid "Network"
 
1763
msgstr ""
 
1764
 
 
1765
#: ../../src/ed_toolbar.py:77 ../../src/prefdlg.py:1762
 
1766
#: ../../src/style_editor.py:193
 
1767
msgid "New"
 
1768
msgstr ""
 
1769
 
 
1770
#: ../../src/ed_menu.py:592
 
1771
msgid "New &Window"
 
1772
msgstr ""
 
1773
 
 
1774
#: ../../plugins/filebrowser/filebrowser/browser.py:653
 
1775
#: ../../plugins/filebrowser/filebrowser/browser.py:826
 
1776
msgid "New File"
 
1777
msgstr ""
 
1778
 
 
1779
#: ../../plugins/filebrowser/filebrowser/browser.py:652
 
1780
#: ../../plugins/filebrowser/filebrowser/browser.py:817
 
1781
msgid "New Folder"
 
1782
msgstr ""
 
1783
 
 
1784
#: ../../src/ed_menu.py:675
 
1785
msgid "New Line After"
 
1786
msgstr ""
 
1787
 
 
1788
#: ../../src/ed_menu.py:677
 
1789
msgid "New Line Before"
 
1790
msgstr ""
 
1791
 
 
1792
#: ../../src/prefdlg.py:1961
 
1793
msgid "New Profile"
 
1794
msgstr ""
 
1795
 
 
1796
#: ../../src/style_editor.py:365
 
1797
msgid "New Style Sheet"
 
1798
msgstr ""
 
1799
 
 
1800
#: ../../src/ed_editv.py:277
 
1801
msgid "New Tab"
 
1802
msgstr ""
 
1803
 
 
1804
#: ../../src/ed_cmdbar.py:295
 
1805
msgid "Next"
 
1806
msgstr ""
 
1807
 
 
1808
#: ../../src/ed_menu.py:784
 
1809
msgid "Next Bookmark"
 
1810
msgstr ""
 
1811
 
 
1812
#: ../../src/ed_menu.py:779
 
1813
msgid "Next Position"
 
1814
msgstr ""
 
1815
 
 
1816
#: ../../src/plugdlg.py:365 ../../src/plugin.py:708
 
1817
msgid "No Description Available"
 
1818
msgstr ""
 
1819
 
 
1820
#: ../../src/ed_editv.py:495
 
1821
msgid "No Suggestions"
 
1822
msgstr ""
 
1823
 
 
1824
#: ../../src/ed_pages.py:979
 
1825
msgid "No Valid Files to Open"
 
1826
msgstr ""
 
1827
 
 
1828
#: ../../src/prefdlg.py:1855
 
1829
msgid "None"
 
1830
msgstr ""
 
1831
 
 
1832
#: ../../src/prefdlg.py:2218
 
1833
msgid "Normal"
 
1834
msgstr ""
 
1835
 
 
1836
#: ../../src/ed_search.py:680
 
1837
msgid "Not implemented"
 
1838
msgstr ""
 
1839
 
 
1840
#: ../../src/style_editor.py:84
 
1841
msgid "Ok"
 
1842
msgstr ""
 
1843
 
 
1844
#: ../../src/ed_glob.py:396 ../../src/ed_mdlg.py:137
 
1845
msgid "Old Machintosh (\\r)"
 
1846
msgstr ""
 
1847
 
 
1848
#: ../../src/ed_menu.py:841 ../../src/ed_menu.py:843 ../../src/prefdlg.py:791
 
1849
msgid "Old Macintosh (\\r)"
 
1850
msgstr ""
 
1851
 
 
1852
#: ../../src/ed_menu.py:921
 
1853
msgid "Online Documentation..."
 
1854
msgstr ""
 
1855
 
 
1856
#: ../../src/ed_menu.py:922
 
1857
msgid "Online project documentation and help guides"
 
1858
msgstr ""
 
1859
 
 
1860
#: ../../src/ed_menu.py:595 ../../src/ed_shelf.py:179
 
1861
#: ../../src/ed_toolbar.py:78
 
1862
msgid "Open"
 
1863
msgstr ""
 
1864
 
 
1865
#: ../../src/ed_menu.py:597
 
1866
msgid "Open &Recent"
 
1867
msgstr ""
 
1868
 
 
1869
#: ../../plugins/PyShell/PyShell/__init__.py:67
 
1870
msgid "Open A Python Shell"
 
1871
msgstr ""
 
1872
 
 
1873
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:93
 
1874
msgid "Open Code Browser Sidepanel"
 
1875
msgstr ""
 
1876
 
 
1877
#: ../../src/ed_pages.py:990
 
1878
msgid "Open Directory?"
 
1879
msgstr ""
 
1880
 
 
1881
#: ../../src/eclib/finddlg.py:732
 
1882
msgid "Open Documents"
 
1883
msgstr ""
 
1884
 
 
1885
#: ../../src/ed_pages.py:214
 
1886
msgid "Open File"
 
1887
msgstr ""
 
1888
 
 
1889
#: ../../plugins/filebrowser/filebrowser/browser.py:212
 
1890
msgid "Open File Browser Sidepanel"
 
1891
msgstr ""
 
1892
 
 
1893
#: ../../src/prefdlg.py:507
 
1894
msgid "Open files in new windows by default"
 
1895
msgstr ""
 
1896
 
 
1897
#: ../../src/ed_menu.py:718
 
1898
msgid "Open the Quick Find Bar"
 
1899
msgstr ""
 
1900
 
 
1901
#: ../../plugins/filebrowser/filebrowser/browser.py:641
 
1902
msgid "Open with "
 
1903
msgstr ""
 
1904
 
 
1905
#: ../../src/ed_pages.py:1010
 
1906
#, python-format
 
1907
msgid "Opened file: %s"
 
1908
msgstr ""
 
1909
 
 
1910
#: ../../src/ed_main.py:1496
 
1911
#, python-format
 
1912
msgid "Opening %s"
 
1913
msgstr ""
 
1914
 
 
1915
#: ../../plugins/Launch/launch/cfgdlg.py:132
 
1916
msgid "Output"
 
1917
msgstr ""
 
1918
 
 
1919
#: ../../src/ed_menu.py:664
 
1920
msgid "P&aste After"
 
1921
msgstr ""
 
1922
 
 
1923
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
 
1924
msgid "Packages"
 
1925
msgstr ""
 
1926
 
 
1927
#: ../../src/ed_menu.py:637
 
1928
msgid "Page Set&up"
 
1929
msgstr ""
 
1930
 
 
1931
#: ../../src/ed_menu.py:769
 
1932
msgid "Pane Navigator"
 
1933
msgstr ""
 
1934
 
 
1935
#: ../../src/prefdlg.py:1452
 
1936
msgid "Password"
 
1937
msgstr ""
 
1938
 
 
1939
#: ../../src/ed_editv.py:467 ../../src/ed_toolbar.py:90
 
1940
msgid "Paste"
 
1941
msgstr ""
 
1942
 
 
1943
#: ../../src/ed_menu.py:663 ../../src/ed_toolbar.py:91
 
1944
msgid "Paste Text from Clipboard to File"
 
1945
msgstr ""
 
1946
 
 
1947
#: ../../src/ed_menu.py:665
 
1948
msgid "Paste Text from Clipboard to File after the cursor"
 
1949
msgstr ""
 
1950
 
 
1951
#: ../../src/prefdlg.py:608 ../../src/prefdlg.py:609
 
1952
msgid "Path to libenchant"
 
1953
msgstr ""
 
1954
 
 
1955
#: ../../plugins/filebrowser/filebrowser/browser.py:105
 
1956
msgid "Pathmarks"
 
1957
msgstr ""
 
1958
 
 
1959
#: ../../src/eclib/infodlg.py:197
 
1960
msgid "Permissions"
 
1961
msgstr ""
 
1962
 
 
1963
#: ../../src/perspective.py:276
 
1964
msgid "Perspective Name"
 
1965
msgstr ""
 
1966
 
 
1967
#: ../../src/perspective.py:293
 
1968
msgid "Perspective to Delete"
 
1969
msgstr ""
 
1970
 
 
1971
#: ../../src/ed_main.py:123
 
1972
msgid "Perspectives"
 
1973
msgstr ""
 
1974
 
 
1975
#: ../../plugins/Launch/launch/cfgdlg.py:427
 
1976
msgid "Plain Text"
 
1977
msgstr ""
 
1978
 
 
1979
#: ../../src/ed_main.py:1565
 
1980
msgid "Platform Info"
 
1981
msgstr ""
 
1982
 
 
1983
#: ../../src/Editra.py:955
 
1984
msgid "Please check the preferences dialog to check your preferences"
 
1985
msgstr ""
 
1986
 
 
1987
#: ../../src/Editra.py:1165
 
1988
msgid "Please check the preferences dialog to verify your preferences"
 
1989
msgstr ""
 
1990
 
 
1991
#: ../../src/ed_main.py:1081 ../../src/ed_menu.py:893
 
1992
msgid "Plugin Manager"
 
1993
msgstr ""
 
1994
 
 
1995
#: ../../src/prefdlg.py:1437
 
1996
msgid "Port Number"
 
1997
msgstr ""
 
1998
 
 
1999
#: ../../src/ed_menu.py:726
 
2000
msgid "Pr&eferences"
 
2001
msgstr ""
 
2002
 
 
2003
#: ../../src/prefdlg.py:110
 
2004
msgid "Preferences - Editra"
 
2005
msgstr ""
 
2006
 
 
2007
#: ../../src/prefdlg.py:503
 
2008
msgid "Preferred Encoding"
 
2009
msgstr ""
 
2010
 
 
2011
#: ../../src/style_editor.py:852
 
2012
msgid "Preview File"
 
2013
msgstr ""
 
2014
 
 
2015
#: ../../src/ed_menu.py:640
 
2016
msgid "Preview Printout"
 
2017
msgstr ""
 
2018
 
 
2019
#: ../../src/ed_cmdbar.py:303
 
2020
msgid "Previous"
 
2021
msgstr ""
 
2022
 
 
2023
#: ../../src/ed_menu.py:786
 
2024
msgid "Previous Bookmark"
 
2025
msgstr ""
 
2026
 
 
2027
#: ../../src/ed_menu.py:781
 
2028
msgid "Previous Position"
 
2029
msgstr ""
 
2030
 
 
2031
#: ../../src/prefdlg.py:858
 
2032
msgid "Primary Font"
 
2033
msgstr ""
 
2034
 
 
2035
#: ../../src/ed_toolbar.py:80
 
2036
msgid "Print"
 
2037
msgstr ""
 
2038
 
 
2039
#: ../../src/ed_menu.py:641 ../../src/ed_toolbar.py:81
 
2040
msgid "Print Current File"
 
2041
msgstr ""
 
2042
 
 
2043
#: ../../src/ed_print.py:121
 
2044
msgid "Print Error"
 
2045
msgstr ""
 
2046
 
 
2047
#: ../../src/ed_menu.py:639
 
2048
msgid "Print Pre&view"
 
2049
msgstr ""
 
2050
 
 
2051
#: ../../src/ed_print.py:113
 
2052
msgid "Print Preview"
 
2053
msgstr ""
 
2054
 
 
2055
#: ../../src/ed_main.py:850
 
2056
msgid "Print failure"
 
2057
msgstr ""
 
2058
 
 
2059
#: ../../src/ed_print.py:139
 
2060
msgid "Printer Error"
 
2061
msgstr ""
 
2062
 
 
2063
#: ../../src/prefdlg.py:393
 
2064
msgid "Printer Mode"
 
2065
msgstr ""
 
2066
 
 
2067
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:55
 
2068
msgid "Procedure Definitions"
 
2069
msgstr ""
 
2070
 
 
2071
#: ../../src/ed_main.py:715 ../../src/ed_main.py:735 ../../src/ed_menu.py:624
 
2072
msgid "Profile"
 
2073
msgstr ""
 
2074
 
 
2075
#: ../../src/ed_main.py:720
 
2076
#, python-format
 
2077
msgid "Profile Saved as: %s"
 
2078
msgstr ""
 
2079
 
 
2080
#: ../../src/Editra.py:957 ../../src/Editra.py:1167
 
2081
msgid "Profile Updated"
 
2082
msgstr ""
 
2083
 
 
2084
#: ../../plugins/Launch/launch/launch.py:156
 
2085
msgid "Program Executable Command"
 
2086
msgstr ""
 
2087
 
 
2088
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:55
 
2089
msgid "Programs"
 
2090
msgstr ""
 
2091
 
 
2092
#: ../../src/ed_menu.py:918
 
2093
msgid "Project Homepage..."
 
2094
msgstr ""
 
2095
 
 
2096
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:55
 
2097
msgid "Protocols"
 
2098
msgstr ""
 
2099
 
 
2100
#: ../../src/prefdlg.py:1423
 
2101
msgid "Proxy Settings"
 
2102
msgstr ""
 
2103
 
 
2104
#: ../../src/prefdlg.py:1433
 
2105
msgid "Proxy URL"
 
2106
msgstr ""
 
2107
 
 
2108
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:58
 
2109
msgid "Public Functions"
 
2110
msgstr ""
 
2111
 
 
2112
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:59
 
2113
msgid "Public Subroutines"
 
2114
msgstr ""
 
2115
 
 
2116
#: ../../src/ed_shelf.py:100
 
2117
msgid "Put an item on the Shelf"
 
2118
msgstr ""
 
2119
 
 
2120
#: ../../src/updater.py:690
 
2121
#, python-format
 
2122
msgid "Rate: %.2f Kb/s"
 
2123
msgstr ""
 
2124
 
 
2125
#: ../../plugins/Launch/launch/__init__.py:100
 
2126
msgid "Re-run the last run program"
 
2127
msgstr ""
 
2128
 
 
2129
#: ../../src/ed_stc.py:1899
 
2130
msgid "Read Only"
 
2131
msgstr ""
 
2132
 
 
2133
#: ../../src/ed_search.py:879
 
2134
msgid "Recent Searches"
 
2135
msgstr ""
 
2136
 
 
2137
#: ../../src/ed_menu.py:598
 
2138
msgid "Recently Opened Files"
 
2139
msgstr ""
 
2140
 
 
2141
#: ../../src/ed_stc.py:1506
 
2142
msgid "Recording Finished"
 
2143
msgstr ""
 
2144
 
 
2145
#: ../../src/ed_stc.py:1493
 
2146
msgid "Recording Macro"
 
2147
msgstr ""
 
2148
 
 
2149
#: ../../src/ed_editv.py:463 ../../src/ed_menu.py:656
 
2150
#: ../../src/ed_toolbar.py:84
 
2151
msgid "Redo"
 
2152
msgstr ""
 
2153
 
 
2154
#: ../../src/ed_menu.py:656 ../../src/ed_toolbar.py:84
 
2155
msgid "Redo Last Undo"
 
2156
msgstr ""
 
2157
 
 
2158
#: ../../src/ed_search.py:413
 
2159
msgid "Regex Compile Error"
 
2160
msgstr ""
 
2161
 
 
2162
#: ../../src/ed_cmdbar.py:326
 
2163
msgid "Regular Expression"
 
2164
msgstr ""
 
2165
 
 
2166
#: ../../src/eclib/finddlg.py:836
 
2167
msgid "Regular expression"
 
2168
msgstr ""
 
2169
 
 
2170
#: ../../src/ed_editv.py:581
 
2171
msgid "Reload File?"
 
2172
msgstr ""
 
2173
 
 
2174
#: ../../src/ed_menu.py:615
 
2175
msgid "Reload the file with a specified encoding"
 
2176
msgstr ""
 
2177
 
 
2178
#: ../../src/ed_main.py:1219
 
2179
msgid "Reload with Encoding"
 
2180
msgstr ""
 
2181
 
 
2182
#: ../../src/ed_menu.py:614
 
2183
msgid "Reload with Encoding..."
 
2184
msgstr ""
 
2185
 
 
2186
#: ../../src/prefdlg.py:510
 
2187
msgid "Remember File Position"
 
2188
msgstr ""
 
2189
 
 
2190
#: ../../src/prefdlg.py:1252
 
2191
msgid "Remember Window Position on Exit"
 
2192
msgstr ""
 
2193
 
 
2194
#: ../../src/prefdlg.py:1249
 
2195
msgid "Remember Window Size on Exit"
 
2196
msgstr ""
 
2197
 
 
2198
#: ../../src/style_editor.py:198
 
2199
msgid "Remove"
 
2200
msgstr ""
 
2201
 
 
2202
#: ../../src/ed_menu.py:703
 
2203
msgid "Remove All Bookmarks"
 
2204
msgstr ""
 
2205
 
 
2206
#: ../../plugins/filebrowser/filebrowser/browser.py:96
 
2207
msgid "Remove Saved Path"
 
2208
msgstr ""
 
2209
 
 
2210
#: ../../src/style_editor.py:209
 
2211
msgid "Remove Style"
 
2212
msgstr ""
 
2213
 
 
2214
#: ../../src/ed_menu.py:704
 
2215
msgid "Remove all bookmarks from the current document"
 
2216
msgstr ""
 
2217
 
 
2218
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:88
 
2219
msgid "Remove selected filter"
 
2220
msgstr ""
 
2221
 
 
2222
#: ../../plugins/Launch/launch/cfgdlg.py:206
 
2223
msgid "Remove selection from list"
 
2224
msgstr ""
 
2225
 
 
2226
#: ../../src/ed_menu.py:835
 
2227
msgid "Remove trailing whitespace"
 
2228
msgstr ""
 
2229
 
 
2230
#: ../../plugins/filebrowser/filebrowser/browser.py:650
 
2231
msgid "Rename"
 
2232
msgstr ""
 
2233
 
 
2234
#: ../../src/eclib/finddlg.py:654 ../../src/eclib/finddlg.py:864
 
2235
msgid "Replace"
 
2236
msgstr ""
 
2237
 
 
2238
#: ../../src/eclib/finddlg.py:867
 
2239
msgid "Replace All"
 
2240
msgstr ""
 
2241
 
 
2242
#: ../../src/ed_search.py:609
 
2243
msgid "Replace Error"
 
2244
msgstr ""
 
2245
 
 
2246
#: ../../src/eclib/finddlg.py:798
 
2247
msgid "Replace with"
 
2248
msgstr ""
 
2249
 
 
2250
#: ../../src/eclib/errdlg.py:322
 
2251
msgid "Report Error"
 
2252
msgstr ""
 
2253
 
 
2254
#: ../../src/ed_editv.py:561
 
2255
msgid "Resave File?"
 
2256
msgstr ""
 
2257
 
 
2258
#: ../../src/ed_main.py:1429
 
2259
msgid "Restore Editor"
 
2260
msgstr ""
 
2261
 
 
2262
#: ../../src/plugdlg.py:662
 
2263
msgid "Retrieving Plugin List"
 
2264
msgstr ""
 
2265
 
 
2266
#: ../../plugins/filebrowser/filebrowser/browser.py:642
 
2267
msgid "Reveal in "
 
2268
msgstr ""
 
2269
 
 
2270
#: ../../src/ed_menu.py:613
 
2271
msgid "Revert file to last save point"
 
2272
msgstr ""
 
2273
 
 
2274
#: ../../src/prefdlg.py:1152 ../../src/prefdlg.py:1818
 
2275
msgid "Revert to Default"
 
2276
msgstr ""
 
2277
 
 
2278
#: ../../src/ed_menu.py:612
 
2279
msgid "Revert to Saved"
 
2280
msgstr ""
 
2281
 
 
2282
#: ../../plugins/Launch/launch/__init__.py:93
 
2283
#: ../../plugins/Launch/launch/launch.py:179
 
2284
#: ../../plugins/Launch/launch/launch.py:543
 
2285
msgid "Run"
 
2286
msgstr ""
 
2287
 
 
2288
#: ../../plugins/Launch/launch/__init__.py:98
 
2289
msgid "Run last executed"
 
2290
msgstr ""
 
2291
 
 
2292
#: ../../plugins/Launch/launch/__init__.py:74
 
2293
msgid "Run script from current buffer"
 
2294
msgstr ""
 
2295
 
 
2296
#: ../../plugins/Launch/launch/__init__.py:95
 
2297
msgid "Run the file associated with the current buffer in Launch"
 
2298
msgstr ""
 
2299
 
 
2300
#: ../../src/ed_session.py:182 ../../src/ed_toolbar.py:79
 
2301
#: ../../src/style_editor.py:88
 
2302
msgid "Save"
 
2303
msgstr ""
 
2304
 
 
2305
#: ../../src/ed_editv.py:280
 
2306
#, python-format
 
2307
msgid "Save \"%s\""
 
2308
msgstr ""
 
2309
 
 
2310
#: ../../src/ed_menu.py:608
 
2311
msgid "Save &As"
 
2312
msgstr ""
 
2313
 
 
2314
#: ../../src/ed_menu.py:609
 
2315
msgid "Save All"
 
2316
msgstr ""
 
2317
 
 
2318
#: ../../src/ed_menu.py:608 ../../src/ed_session.py:184
 
2319
#: ../../src/eclib/filemgrdlg.py:121
 
2320
msgid "Save As"
 
2321
msgstr ""
 
2322
 
 
2323
#: ../../src/ed_editv.py:618
 
2324
msgid "Save Changes?"
 
2325
msgstr ""
 
2326
 
 
2327
#: ../../src/ed_menu.py:607 ../../src/ed_toolbar.py:79
 
2328
msgid "Save Current File"
 
2329
msgstr ""
 
2330
 
 
2331
#: ../../src/ed_menu.py:621
 
2332
msgid "Save Current Settings to a New Profile"
 
2333
msgstr ""
 
2334
 
 
2335
#: ../../src/perspective.py:82
 
2336
msgid "Save Current View"
 
2337
msgstr ""
 
2338
 
 
2339
#: ../../src/ed_mdlg.py:106
 
2340
msgid "Save Error"
 
2341
msgstr ""
 
2342
 
 
2343
#: ../../src/perspective.py:277
 
2344
msgid "Save Perspective"
 
2345
msgstr ""
 
2346
 
 
2347
#: ../../src/ed_menu.py:620
 
2348
msgid "Save Profile"
 
2349
msgstr ""
 
2350
 
 
2351
#: ../../plugins/filebrowser/filebrowser/browser.py:93
 
2352
msgid "Save Selected Paths"
 
2353
msgstr ""
 
2354
 
 
2355
#: ../../src/ed_main.py:768 ../../src/ed_menu.py:629
 
2356
msgid "Save Session"
 
2357
msgstr ""
 
2358
 
 
2359
#: ../../src/style_editor.py:147 ../../src/style_editor.py:408
 
2360
msgid "Save Styles"
 
2361
msgstr ""
 
2362
 
 
2363
#: ../../src/ed_menu.py:610
 
2364
msgid "Save all open pages"
 
2365
msgstr ""
 
2366
 
 
2367
#: ../../src/ed_menu.py:630
 
2368
msgid "Save the current session."
 
2369
msgstr ""
 
2370
 
 
2371
#: ../../src/perspective.py:83
 
2372
msgid "Save the current window layout"
 
2373
msgstr ""
 
2374
 
 
2375
#: ../../src/ed_main.py:698
 
2376
#, python-format
 
2377
msgid "Saved File As: %s"
 
2378
msgstr ""
 
2379
 
 
2380
#: ../../src/ed_main.py:626
 
2381
#, python-format
 
2382
msgid "Saved File: %s"
 
2383
msgstr ""
 
2384
 
 
2385
#: ../../src/ed_search.py:1383
 
2386
#, python-format
 
2387
msgid "Search Complete: %d matching lines where found."
 
2388
msgstr ""
 
2389
 
 
2390
#: ../../src/eclib/finddlg.py:837
 
2391
msgid "Search Recursively"
 
2392
msgstr ""
 
2393
 
 
2394
#: ../../src/ed_search.py:1357
 
2395
msgid "Search Started"
 
2396
msgstr ""
 
2397
 
 
2398
#: ../../src/ed_search.py:1376
 
2399
msgid "Search complete"
 
2400
msgstr ""
 
2401
 
 
2402
#: ../../src/ed_menu.py:724
 
2403
msgid "Search for the currently selected phrase"
 
2404
msgstr ""
 
2405
 
 
2406
#: ../../plugins/filebrowser/filebrowser/browser.py:647
 
2407
msgid "Search in directory"
 
2408
msgstr ""
 
2409
 
 
2410
#: ../../src/ed_search.py:470
 
2411
msgid "Search wrapped to bottom"
 
2412
msgstr ""
 
2413
 
 
2414
#: ../../src/ed_search.py:465
 
2415
msgid "Search wrapped to top"
 
2416
msgstr ""
 
2417
 
 
2418
#: ../../src/prefdlg.py:861
 
2419
msgid "Secondary Font"
 
2420
msgstr ""
 
2421
 
 
2422
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
 
2423
msgid "Sections"
 
2424
msgstr ""
 
2425
 
 
2426
#: ../../src/ed_menu.py:669
 
2427
msgid "Select &All"
 
2428
msgstr ""
 
2429
 
 
2430
#: ../../src/ed_editv.py:472
 
2431
msgid "Select All"
 
2432
msgstr ""
 
2433
 
 
2434
#: ../../src/ed_menu.py:670
 
2435
msgid "Select All Text in Document"
 
2436
msgstr ""
 
2437
 
 
2438
#: ../../src/ed_main.py:1218
 
2439
msgid "Select an encoding to reload the file with"
 
2440
msgstr ""
 
2441
 
 
2442
#: ../../src/plugdlg.py:492
 
2443
msgid "Select plugins to download"
 
2444
msgstr ""
 
2445
 
 
2446
#: ../../src/eclib/finddlg.py:731
 
2447
msgid "Selected Text"
 
2448
msgstr ""
 
2449
 
 
2450
#: ../../src/ed_menu.py:927
 
2451
msgid "Send bug reports and suggestions"
 
2452
msgstr ""
 
2453
 
 
2454
#: ../../src/ed_pages.py:369
 
2455
msgid "Session Load Error"
 
2456
msgstr ""
 
2457
 
 
2458
#: ../../src/ed_menu.py:891
 
2459
msgid "Session Manager"
 
2460
msgstr ""
 
2461
 
 
2462
#: ../../src/ed_main.py:768
 
2463
msgid "Session Name"
 
2464
msgstr ""
 
2465
 
 
2466
#: ../../src/ed_main.py:777
 
2467
#, python-format
 
2468
msgid "Session Saved as: %s"
 
2469
msgstr ""
 
2470
 
 
2471
#: ../../src/ed_pages.py:374
 
2472
msgid "Session file is empty."
 
2473
msgstr ""
 
2474
 
 
2475
#: ../../src/ed_main.py:799
 
2476
#, python-format
 
2477
msgid ""
 
2478
"Session to Load:\n"
 
2479
"Current Session: '%s'"
 
2480
msgstr ""
 
2481
 
 
2482
#: ../../src/ed_session.py:203
 
2483
msgid "Session:"
 
2484
msgstr ""
 
2485
 
 
2486
#: ../../src/ed_menu.py:633
 
2487
msgid "Sessions"
 
2488
msgstr ""
 
2489
 
 
2490
#: ../../src/eclib/ecpickers.py:82
 
2491
msgid "Set Font"
 
2492
msgstr ""
 
2493
 
 
2494
#: ../../src/style_editor.py:855
 
2495
msgid "Set the preview file type"
 
2496
msgstr ""
 
2497
 
 
2498
#: ../../src/prefdlg.py:834
 
2499
msgid "Sets a secondary font used for special regions when syntax highlighting is in use"
 
2500
msgstr ""
 
2501
 
 
2502
#: ../../src/prefdlg.py:829
 
2503
msgid "Sets the main/default font of the document"
 
2504
msgstr ""
 
2505
 
 
2506
#: ../../plugins/Launch/launch/launch.py:150
 
2507
msgid "Settings"
 
2508
msgstr ""
 
2509
 
 
2510
#: ../../src/ed_shelf.py:79 ../../src/ed_shelf.py:99
 
2511
msgid "Shelf"
 
2512
msgstr ""
 
2513
 
 
2514
#: ../../src/ed_menu.py:711
 
2515
msgid "Show Calltip"
 
2516
msgstr ""
 
2517
 
 
2518
#: ../../src/ed_menu.py:752 ../../src/ed_menu.py:753 ../../src/prefdlg.py:803
 
2519
msgid "Show EOL Markers"
 
2520
msgstr ""
 
2521
 
 
2522
#: ../../src/ed_menu.py:750
 
2523
msgid "Show Edge Guide"
 
2524
msgstr ""
 
2525
 
 
2526
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:69
 
2527
msgid "Show Hidden Files"
 
2528
msgstr ""
 
2529
 
 
2530
#: ../../src/prefdlg.py:1233
 
2531
msgid "Show Icons on Tabs"
 
2532
msgstr ""
 
2533
 
 
2534
#: ../../src/ed_menu.py:749
 
2535
msgid "Show Indentation Guides"
 
2536
msgstr ""
 
2537
 
 
2538
#: ../../src/ed_menu.py:755
 
2539
msgid "Show Line Number Margin"
 
2540
msgstr ""
 
2541
 
 
2542
#: ../../src/ed_menu.py:754 ../../src/prefdlg.py:805
 
2543
msgid "Show Line Numbers"
 
2544
msgstr ""
 
2545
 
 
2546
#: ../../src/ed_shelf.py:485
 
2547
msgid "Show Shelf"
 
2548
msgstr ""
 
2549
 
 
2550
#: ../../src/prefdlg.py:407
 
2551
msgid "Show Splash Screen"
 
2552
msgstr ""
 
2553
 
 
2554
#: ../../src/ed_menu.py:790 ../../src/prefdlg.py:1254
 
2555
msgid "Show Status Bar"
 
2556
msgstr ""
 
2557
 
 
2558
#: ../../src/ed_menu.py:792 ../../src/prefdlg.py:1256
 
2559
msgid "Show Toolbar"
 
2560
msgstr ""
 
2561
 
 
2562
#: ../../src/ed_menu.py:756 ../../src/prefdlg.py:807
 
2563
msgid "Show Whitespace"
 
2564
msgstr ""
 
2565
 
 
2566
#: ../../src/ed_menu.py:757
 
2567
msgid "Show Whitespace Markers"
 
2568
msgstr ""
 
2569
 
 
2570
#: ../../src/ed_menu.py:712
 
2571
msgid "Show a calltip for the current word."
 
2572
msgstr ""
 
2573
 
 
2574
#: ../../src/ed_menu.py:710
 
2575
msgid "Show autocompletion hints."
 
2576
msgstr ""
 
2577
 
 
2578
#: ../../src/ed_log.py:134
 
2579
msgid "Show output from"
 
2580
msgstr ""
 
2581
 
 
2582
#: ../../src/ed_shelf.py:487
 
2583
msgid "Show the Shelf"
 
2584
msgstr ""
 
2585
 
 
2586
#: ../../src/ed_menu.py:751
 
2587
msgid "Show the edge column guide"
 
2588
msgstr ""
 
2589
 
 
2590
#: ../../src/ed_menu.py:892
 
2591
msgid "Show the session manager bar"
 
2592
msgstr ""
 
2593
 
 
2594
#: ../../src/style_editor.py:802 ../../src/eclib/infodlg.py:189
 
2595
msgid "Size"
 
2596
msgstr ""
 
2597
 
 
2598
#: ../../src/ed_pages.py:394
 
2599
msgid "Some files in saved session could not be found on disk:\n"
 
2600
msgstr ""
 
2601
 
 
2602
#: ../../src/style_editor.py:141
 
2603
msgid "Some styles have been changed would you like to save before exiting?"
 
2604
msgstr ""
 
2605
 
 
2606
#: ../../src/ed_search.py:679
 
2607
msgid "Sorry will be ready for future version"
 
2608
msgstr ""
 
2609
 
 
2610
#: ../../plugins/codebrowser/codebrowser/cbconfig.py:40
 
2611
msgid "Sorting"
 
2612
msgstr ""
 
2613
 
 
2614
#: ../../src/ed_menu.py:830
 
2615
msgid "Spaces to Tabs"
 
2616
msgstr ""
 
2617
 
 
2618
#: ../../src/prefdlg.py:580
 
2619
msgid "Spell Checking"
 
2620
msgstr ""
 
2621
 
 
2622
#: ../../src/ed_editv.py:518
 
2623
msgid "Spelling"
 
2624
msgstr ""
 
2625
 
 
2626
#: ../../src/ed_toolbar.py:77
 
2627
msgid "Start a New File"
 
2628
msgstr ""
 
2629
 
 
2630
#: ../../src/ed_menu.py:591
 
2631
msgid "Start a new file in a new tab"
 
2632
msgstr ""
 
2633
 
 
2634
#: ../../src/ed_menu.py:593
 
2635
msgid "Start a new file in a new window"
 
2636
msgstr ""
 
2637
 
 
2638
#: ../../src/prefdlg.py:1006
 
2639
msgid "Start in Normal Mode"
 
2640
msgstr ""
 
2641
 
 
2642
#: ../../src/prefdlg.py:305
 
2643
msgid "Startup"
 
2644
msgstr ""
 
2645
 
 
2646
#: ../../src/prefdlg.py:429
 
2647
msgid "Startup Settings"
 
2648
msgstr ""
 
2649
 
 
2650
#: ../../src/prefdlg.py:1561 ../../src/updater.py:291
 
2651
msgid "Status Unknown"
 
2652
msgstr ""
 
2653
 
 
2654
#: ../../src/ed_menu.py:895 ../../src/style_editor.py:70
 
2655
msgid "Style Editor"
 
2656
msgstr ""
 
2657
 
 
2658
#: ../../src/style_editor.py:723
 
2659
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
 
2660
msgid "Style Tags"
 
2661
msgstr ""
 
2662
 
 
2663
#: ../../src/style_editor.py:202
 
2664
msgid "Style Theme"
 
2665
msgstr ""
 
2666
 
 
2667
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:57
 
2668
msgid "Subroutine Declarations"
 
2669
msgstr ""
 
2670
 
 
2671
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
 
2672
msgid "Subroutines"
 
2673
msgstr ""
 
2674
 
 
2675
#: ../../src/plugdlg.py:818
 
2676
msgid "Successfully Installed Plugins"
 
2677
msgstr ""
 
2678
 
 
2679
#: ../../src/prefdlg.py:565
 
2680
msgid "Suffix for backup file names"
 
2681
msgstr ""
 
2682
 
 
2683
#: ../../src/ed_menu.py:559 ../../src/syntax/syntax.py:314
 
2684
#, python-format
 
2685
msgid "Switch Lexer to %s"
 
2686
msgstr ""
 
2687
 
 
2688
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:64
 
2689
msgid "Synch tree with tab selection"
 
2690
msgstr ""
 
2691
 
 
2692
#: ../../src/ed_menu.py:872 ../../src/prefdlg.py:715 ../../src/prefdlg.py:1125
 
2693
msgid "Syntax Highlighting"
 
2694
msgstr ""
 
2695
 
 
2696
#: ../../src/plugdlg.py:756
 
2697
msgid "System Directory"
 
2698
msgstr ""
 
2699
 
 
2700
#: ../../src/prefdlg.py:762
 
2701
msgid "Tab Width"
 
2702
msgstr ""
 
2703
 
 
2704
#: ../../src/ed_menu.py:832
 
2705
msgid "Tabs to Spaces"
 
2706
msgstr ""
 
2707
 
 
2708
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:57
 
2709
msgid "Task Definitions"
 
2710
msgstr ""
 
2711
 
 
2712
#: ../../plugins/Launch/launch/cfgdlg.py:385
 
2713
msgid "Text Colors"
 
2714
msgstr "Text Colours"
 
2715
 
 
2716
#: ../../src/util.py:378
 
2717
msgid "Text Document"
 
2718
msgstr ""
 
2719
 
 
2720
#: ../../src/ed_pages.py:160
 
2721
#, python-format
 
2722
msgid ""
 
2723
"The correct encoding of '%s' could not be determined.\n"
 
2724
"\n"
 
2725
"Choose an encoding and select Ok to open the file with the chosen encoding.\n"
 
2726
"Click Cancel to abort opening the file"
 
2727
msgstr ""
 
2728
 
 
2729
#: ../../src/ed_editv.py:615
 
2730
#, python-format
 
2731
msgid ""
 
2732
"The file: \"%s\" has been modified since the last save point.\n"
 
2733
"\n"
 
2734
"Would you like to save the changes?"
 
2735
msgstr ""
 
2736
 
 
2737
#: ../../src/style_editor.py:144
 
2738
#, python-format
 
2739
msgid "The new style sheet '%s' has not been saved would you like to save before exiting?"
 
2740
msgstr ""
 
2741
 
 
2742
#: ../../src/style_editor.py:403
 
2743
#, python-format
 
2744
msgid ""
 
2745
"The new style theme '%s' has not been saved.\n"
 
2746
"\n"
 
2747
"Would you like to save it before changing themes?"
 
2748
msgstr ""
 
2749
 
 
2750
#: ../../plugins/Launch/launch/launch.py:680
 
2751
msgid "The requested command could not be executed."
 
2752
msgstr ""
 
2753
 
 
2754
#: ../../src/ed_search.py:370
 
2755
#, python-format
 
2756
msgid "The search term '%(term)s' was found %(count)d times."
 
2757
msgstr ""
 
2758
 
 
2759
#: ../../src/style_editor.py:371
 
2760
#, python-format
 
2761
msgid "The style %s already exists. Please choose a different name."
 
2762
msgstr ""
 
2763
 
 
2764
#: ../../src/ed_pages.py:977
 
2765
#, python-format
 
2766
msgid "There are no files that Editra can open in %s"
 
2767
msgstr ""
 
2768
 
 
2769
#: ../../src/ed_print.py:137
 
2770
msgid ""
 
2771
"There was an error when printing.\n"
 
2772
"Check that your printer is properly connected."
 
2773
msgstr ""
 
2774
 
 
2775
#: ../../src/plugdlg.py:402
 
2776
msgid "This plugin requires a newer version of Editra."
 
2777
msgstr ""
 
2778
 
 
2779
#: ../../src/plugdlg.py:1053
 
2780
msgid "This plugin will be uninstalled on next program launch."
 
2781
msgstr ""
 
2782
 
 
2783
#: ../../src/ed_editv.py:470
 
2784
msgid "To Lowercase"
 
2785
msgstr ""
 
2786
 
 
2787
#: ../../src/ed_editv.py:469
 
2788
msgid "To Uppercase"
 
2789
msgstr ""
 
2790
 
 
2791
#: ../../src/plugdlg.py:741
 
2792
msgid ""
 
2793
"To add a new item drag and drop the plugin file into the list.\n"
 
2794
"\n"
 
2795
"To remove an item select it and hit Delete or Backspace."
 
2796
msgstr ""
 
2797
 
 
2798
#: ../../src/ed_menu.py:866
 
2799
msgid "Toggle Auto-Indentation functionality"
 
2800
msgstr ""
 
2801
 
 
2802
#: ../../src/ed_menu.py:701
 
2803
msgid "Toggle Bookmark"
 
2804
msgstr ""
 
2805
 
 
2806
#: ../../src/ed_menu.py:871
 
2807
msgid "Toggle Code Folding"
 
2808
msgstr ""
 
2809
 
 
2810
#: ../../src/ed_menu.py:807
 
2811
msgid "Toggle Comment"
 
2812
msgstr ""
 
2813
 
 
2814
#: ../../src/ed_menu.py:772
 
2815
msgid "Toggle Editor Maximization"
 
2816
msgstr ""
 
2817
 
 
2818
#: ../../src/ed_menu.py:759
 
2819
msgid "Toggle Editor View Options"
 
2820
msgstr ""
 
2821
 
 
2822
#: ../../src/ed_menu.py:763 ../../src/ed_menu.py:764
 
2823
msgid "Toggle all folds"
 
2824
msgstr ""
 
2825
 
 
2826
#: ../../src/ed_menu.py:702
 
2827
msgid "Toggle bookmark of the current line"
 
2828
msgstr ""
 
2829
 
 
2830
#: ../../src/ed_menu.py:808
 
2831
msgid "Toggle comment on the selected line(s)"
 
2832
msgstr ""
 
2833
 
 
2834
#: ../../src/ed_menu.py:762
 
2835
msgid "Toggle current fold"
 
2836
msgstr ""
 
2837
 
 
2838
#: ../../src/ed_menu.py:761
 
2839
msgid "Toggle fold"
 
2840
msgstr ""
 
2841
 
 
2842
#: ../../src/prefdlg.py:1227
 
2843
msgid "Toolbar Icon Size"
 
2844
msgstr ""
 
2845
 
 
2846
#: ../../plugins/Launch/launch/cfgdlg.py:208
 
2847
msgid "Transient XML Handler"
 
2848
msgstr ""
 
2849
 
 
2850
#: ../../src/ed_menu.py:923
 
2851
msgid "Translate Editra..."
 
2852
msgstr ""
 
2853
 
 
2854
#: ../../src/prefdlg.py:1280
 
2855
msgid "Transparency"
 
2856
msgstr ""
 
2857
 
 
2858
#: ../../src/ed_menu.py:691
 
2859
msgid "Transpose Line"
 
2860
msgstr ""
 
2861
 
 
2862
#: ../../src/ed_menu.py:692
 
2863
msgid "Transpose the current line with the previous one"
 
2864
msgstr ""
 
2865
 
 
2866
#: ../../src/ed_menu.py:834
 
2867
msgid "Trim Trailing Whitespace"
 
2868
msgstr ""
 
2869
 
 
2870
#: ../../src/prefdlg.py:811
 
2871
msgid "Turn off for better performance"
 
2872
msgstr ""
 
2873
 
 
2874
#: ../../src/util.py:195
 
2875
msgid "Unable to accept dropped file or text"
 
2876
msgstr ""
 
2877
 
 
2878
#: ../../src/eclib/filemgrdlg.py:174
 
2879
#, python-format
 
2880
msgid "Unable to delete %s"
 
2881
msgstr ""
 
2882
 
 
2883
#: ../../src/plugdlg.py:497
 
2884
msgid "Unable to retrieve plugin list"
 
2885
msgstr ""
 
2886
 
 
2887
#: ../../src/ed_editv.py:462 ../../src/ed_toolbar.py:83
 
2888
msgid "Undo"
 
2889
msgstr ""
 
2890
 
 
2891
#: ../../src/ed_menu.py:655 ../../src/ed_toolbar.py:83
 
2892
msgid "Undo Last Action"
 
2893
msgstr ""
 
2894
 
 
2895
#: ../../src/ed_menu.py:813
 
2896
msgid "Unindent Lines"
 
2897
msgstr ""
 
2898
 
 
2899
#: ../../src/ed_menu.py:814
 
2900
msgid "Unindent the selected lines"
 
2901
msgstr ""
 
2902
 
 
2903
#: ../../src/plugdlg.py:937
 
2904
msgid "Uninstall"
 
2905
msgstr ""
 
2906
 
 
2907
#: ../../src/plugdlg.py:1049
 
2908
msgid "Uninstall Plugin"
 
2909
msgstr ""
 
2910
 
 
2911
#: ../../src/ed_glob.py:397 ../../src/ed_mdlg.py:137 ../../src/ed_menu.py:844
 
2912
#: ../../src/ed_menu.py:846 ../../src/prefdlg.py:791
 
2913
msgid "Unix (\\n)"
 
2914
msgstr ""
 
2915
 
 
2916
#: ../../src/plugdlg.py:359 ../../src/plugdlg.py:367 ../../src/plugdlg.py:413
 
2917
#: ../../src/plugdlg.py:417 ../../src/plugin.py:709 ../../src/util.py:384
 
2918
#: ../../src/eclib/infodlg.py:298
 
2919
msgid "Unknown"
 
2920
msgstr ""
 
2921
 
 
2922
#: ../../src/eclib/finddlg.py:824
 
2923
msgid "Up"
 
2924
msgstr ""
 
2925
 
 
2926
#: ../../src/prefdlg.py:1390
 
2927
msgid "Update"
 
2928
msgstr ""
 
2929
 
 
2930
#: ../../src/Editra.py:645
 
2931
msgid "Update Available"
 
2932
msgstr ""
 
2933
 
 
2934
#: ../../src/ed_menu.py:816
 
2935
msgid "Uppercase"
 
2936
msgstr ""
 
2937
 
 
2938
#: ../../src/ed_menu.py:864
 
2939
msgid "Use Auto Completion when available"
 
2940
msgstr ""
 
2941
 
 
2942
#: ../../src/prefdlg.py:1428
 
2943
msgid "Use Proxy"
 
2944
msgstr ""
 
2945
 
 
2946
#: ../../src/ed_menu.py:821
 
2947
msgid "Use Soft Tabs"
 
2948
msgstr ""
 
2949
 
 
2950
#: ../../src/prefdlg.py:781
 
2951
msgid "Use Tabs Instead of Spaces"
 
2952
msgstr ""
 
2953
 
 
2954
#: ../../src/prefdlg.py:554
 
2955
msgid "Used to set a custom backup path. If not specified the backup will be put in the same directory as the file."
 
2956
msgstr ""
 
2957
 
 
2958
#: ../../src/plugdlg.py:752
 
2959
msgid "User Directory"
 
2960
msgstr ""
 
2961
 
 
2962
#: ../../src/prefdlg.py:1445
 
2963
msgid "Username"
 
2964
msgstr ""
 
2965
 
 
2966
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:66
 
2967
msgid "View"
 
2968
msgstr ""
 
2969
 
 
2970
#: ../../src/ed_log.py:81
 
2971
msgid "View Editra's console log"
 
2972
msgstr ""
 
2973
 
 
2974
#: ../../src/ed_menu.py:785
 
2975
msgid "View Line of Next Bookmark"
 
2976
msgstr ""
 
2977
 
 
2978
#: ../../src/ed_menu.py:787
 
2979
msgid "View Line of Previous Bookmark"
 
2980
msgstr ""
 
2981
 
 
2982
#: ../../src/prefdlg.py:849
 
2983
msgid "View Options"
 
2984
msgstr ""
 
2985
 
 
2986
#: ../../src/prefdlg.py:813
 
2987
msgid "View Virtual Space After Last Line"
 
2988
msgstr ""
 
2989
 
 
2990
#: ../../src/ed_bookmark.py:80
 
2991
msgid "View all bookmarks"
 
2992
msgstr ""
 
2993
 
 
2994
#: ../../src/ed_menu.py:770
 
2995
msgid "View pane selection list"
 
2996
msgstr ""
 
2997
 
 
2998
#: ../../src/ed_menu.py:919
 
2999
#, python-format
 
3000
msgid "Visit the project homepage %s"
 
3001
msgstr ""
 
3002
 
 
3003
#: ../../src/prefdlg.py:969
 
3004
msgid "Visual Helpers"
 
3005
msgstr ""
 
3006
 
 
3007
#: ../../src/prefdlg.py:523
 
3008
msgid "Warn when mixed eol characters are detected"
 
3009
msgstr ""
 
3010
 
 
3011
#: ../../plugins/Launch/launch/cfgdlg.py:448
 
3012
msgid "Warning Text"
 
3013
msgstr ""
 
3014
 
 
3015
#: ../../src/prefdlg.py:997
 
3016
msgid "Warning suggestions will include context insensitive results"
 
3017
msgstr ""
 
3018
 
 
3019
#: ../../src/eclib/infodlg.py:191
 
3020
msgid "Where"
 
3021
msgstr ""
 
3022
 
 
3023
#: ../../src/ed_main.py:713
 
3024
msgid "Where to Save Profile?"
 
3025
msgstr ""
 
3026
 
 
3027
#: ../../src/ed_menu.py:836
 
3028
msgid "Whitespace"
 
3029
msgstr ""
 
3030
 
 
3031
#: ../../src/ed_menu.py:837
 
3032
msgid "Whitespace formating commands"
 
3033
msgstr ""
 
3034
 
 
3035
#: ../../src/ed_cmdbar.py:322
 
3036
msgid "Whole Word"
 
3037
msgstr ""
 
3038
 
 
3039
#: ../../src/eclib/finddlg.py:835
 
3040
msgid "Whole word"
 
3041
msgstr ""
 
3042
 
 
3043
#: ../../src/eclib/finddlg.py:843
 
3044
msgid "Wildcard shell patterns for matching files (*.txt *.html)."
 
3045
msgstr ""
 
3046
 
 
3047
#: ../../src/ed_glob.py:398 ../../src/ed_mdlg.py:138 ../../src/ed_menu.py:847
 
3048
#: ../../src/ed_menu.py:849 ../../src/prefdlg.py:792
 
3049
msgid "Windows (\\r\\n)"
 
3050
msgstr ""
 
3051
 
 
3052
#: ../../src/ed_menu.py:709
 
3053
msgid "Word Completion"
 
3054
msgstr ""
 
3055
 
 
3056
#: ../../src/ed_menu.py:824 ../../src/prefdlg.py:809
 
3057
msgid "Word Wrap"
 
3058
msgstr ""
 
3059
 
 
3060
#: ../../src/style_editor.py:398
 
3061
#, python-format
 
3062
msgid ""
 
3063
"Would you like to save the changes to '%s' before changing themes?\n"
 
3064
"\n"
 
3065
"Selecting No will result in all changes being lost."
 
3066
msgstr ""
 
3067
 
 
3068
#: ../../src/ed_menu.py:825
 
3069
msgid "Wrap Text Horizontally"
 
3070
msgstr ""
 
3071
 
 
3072
#: ../../plugins/Launch/launch/cfgdlg.py:399
 
3073
msgid "Wrap lines in output buffer"
 
3074
msgstr ""
 
3075
 
 
3076
#: ../../src/ed_main.py:1563
 
3077
#, python-format
 
3078
msgid "Written in 100%% Python."
 
3079
msgstr ""
 
3080
 
 
3081
#: ../../src/plugdlg.py:193
 
3082
msgid "You must restart Editra before your changes will take full affect."
 
3083
msgstr ""
 
3084
 
 
3085
#: ../../src/Editra.py:953 ../../src/Editra.py:1163
 
3086
msgid "Your profile has been updated to the latest version"
 
3087
msgstr ""
 
3088
 
 
3089
#: ../../src/ed_menu.py:741 ../../src/ed_menu.py:742
 
3090
msgid "Zoom Default"
 
3091
msgstr ""
 
3092
 
 
3093
#: ../../src/ed_menu.py:740
 
3094
msgid "Zoom In"
 
3095
msgstr ""
 
3096
 
 
3097
#: ../../src/ed_menu.py:739
 
3098
msgid "Zoom Out"
 
3099
msgstr ""
 
3100
 
 
3101
#: ../../plugins/Launch/launch/launch.py:169
 
3102
msgid "args"
 
3103
msgstr ""
 
3104
 
 
3105
#: ../../src/style_editor.py:769
 
3106
msgid "bold"
 
3107
msgstr ""
 
3108
 
 
3109
#: ../../src/ed_cmdbar.py:912
 
3110
msgid "cwd: "
 
3111
msgstr ""
 
3112
 
 
3113
#: ../../src/style_editor.py:770
 
3114
msgid "eol"
 
3115
msgstr ""
 
3116
 
 
3117
#: ../../plugins/Launch/launch/launch.py:153
 
3118
msgid "exec"
 
3119
msgstr ""
 
3120
 
 
3121
#: ../../src/style_editor.py:771
 
3122
msgid "italic"
 
3123
msgstr ""
 
3124
 
 
3125
#: ../../src/style_editor.py:772
 
3126
msgid "underline"
 
3127
msgstr ""
 
3128
 
 
3129
#: ../../src/ed_pages.py:236
 
3130
msgid "untitled"
 
3131
msgstr ""
 
3132
 
 
3133
#: ../../src/ed_pages.py:234
 
3134
#, python-format
 
3135
msgid "untitled %d"
 
3136
msgstr ""