~brian-sidebotham/wxwidgets-cmake/wxpython-2.9.4

« back to all changes in this revision

Viewing changes to wxPython/wx/tools/Editra/scripts/i18n/Editra_ka_GE.po

  • Committer: Brian Sidebotham
  • Date: 2013-08-03 14:30:08 UTC
  • Revision ID: brian.sidebotham@gmail.com-20130803143008-c7806tkych1tp6fc
Initial import into Bazaar

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
# Georgian translation for editra
 
2
# Copyright (c) 2008 Rosetta Contributors and Canonical Ltd 2008
 
3
# This file is distributed under the same license as the editra package.
 
4
# FIRST AUTHOR <EMAIL@ADDRESS>, 2008.
 
5
#
 
6
msgid ""
 
7
msgstr ""
 
8
"Project-Id-Version: editra\n"
 
9
"Report-Msgid-Bugs-To: \n"
 
10
"POT-Creation-Date: 2012-06-09 13:29-0500\n"
 
11
"PO-Revision-Date: 2008-12-21 00:27+0000\n"
 
12
"Last-Translator: წკაპო <Unknown>\n"
 
13
"Language-Team: Georgian <ka@li.org>\n"
 
14
"MIME-Version: 1.0\n"
 
15
"Content-Type: text/plain; charset=UTF-8\n"
 
16
"Content-Transfer-Encoding: 8bit\n"
 
17
"X-Launchpad-Export-Date: 2012-06-09 18:20+0000\n"
 
18
"X-Generator: Launchpad (build 15376)\n"
 
19
 
 
20
#: ../../src/ed_search.py:486
 
21
#, python-format
 
22
msgid "\"%s\" was not found"
 
23
msgstr "\"%s\" ვერ მოიძებნა"
 
24
 
 
25
#: ../../src/ed_search.py:700
 
26
#, python-format
 
27
msgid "%d matches were replaced."
 
28
msgstr "%d დამთხვეული მნიშვნელობა შეიცვალა"
 
29
 
 
30
#: ../../src/util.py:386
 
31
#, python-format
 
32
msgid "%s Source File"
 
33
msgstr "%s წყარო-ფაილი"
 
34
 
 
35
#: ../../src/ed_main.py:584
 
36
#, python-format
 
37
msgid ""
 
38
"%s could not be found.\n"
 
39
"Perhaps it's been moved or deleted."
 
40
msgstr ""
 
41
 
 
42
#: ../../src/ed_stc.py:1854
 
43
#, python-format
 
44
msgid "%s does not exist"
 
45
msgstr "%s არ არსებობს"
 
46
 
 
47
#: ../../src/ed_editv.py:558
 
48
#, python-format
 
49
msgid ""
 
50
"%s has been deleted since its last save point.\n"
 
51
"\n"
 
52
"Would you like to save it again?"
 
53
msgstr ""
 
54
"%s წაშლილი იქნა მისი ბოლო შენახვის შემდეგ.\n"
 
55
"გსურთ მისი კვლავშენახვა?"
 
56
 
 
57
#: ../../src/ed_editv.py:578
 
58
#, python-format
 
59
msgid ""
 
60
"%s has been modified by another application.\n"
 
61
"\n"
 
62
"Would you like to reload it?"
 
63
msgstr ""
 
64
 
 
65
#: ../../src/ed_menu.py:916
 
66
msgid "&About..."
 
67
msgstr ""
 
68
 
 
69
#: ../../src/ed_menu.py:600
 
70
msgid "&Close Tab"
 
71
msgstr ""
 
72
 
 
73
#: ../../src/ed_menu.py:660
 
74
msgid "&Copy"
 
75
msgstr "&ასლის გადაღება"
 
76
 
 
77
#: ../../src/ed_menu.py:730
 
78
msgid "&Edit"
 
79
msgstr "&ჩასწორება"
 
80
 
 
81
#: ../../src/ed_menu.py:646
 
82
msgid "&File"
 
83
msgstr "&ფაილი"
 
84
 
 
85
#: ../../src/ed_menu.py:714
 
86
msgid "&Find"
 
87
msgstr "&ძიება"
 
88
 
 
89
#: ../../src/ed_menu.py:804
 
90
msgid "&Font"
 
91
msgstr "&შრიფტი"
 
92
 
 
93
#: ../../src/ed_menu.py:774
 
94
msgid "&Goto Line"
 
95
msgstr "&სტრიქონზე გადასვლა"
 
96
 
 
97
#: ../../src/ed_main.py:132 ../../src/ed_menu.py:930
 
98
msgid "&Help"
 
99
msgstr "&დახმარება"
 
100
 
 
101
#: ../../src/ed_menu.py:590
 
102
msgid "&New Tab"
 
103
msgstr "&ახალი დაფა"
 
104
 
 
105
#: ../../src/ed_menu.py:595
 
106
msgid "&Open"
 
107
msgstr "&გახსნა"
 
108
 
 
109
#: ../../src/ed_menu.py:662
 
110
msgid "&Paste"
 
111
msgstr "&ჩაწებება"
 
112
 
 
113
#: ../../src/ed_menu.py:641
 
114
msgid "&Print"
 
115
msgstr "&ამობეჭდვა"
 
116
 
 
117
#: ../../src/ed_menu.py:717
 
118
msgid "&Quick Find"
 
119
msgstr "&სწრაფი ძებნა"
 
120
 
 
121
#: ../../src/ed_menu.py:607
 
122
msgid "&Save"
 
123
msgstr "&შენახვა"
 
124
 
 
125
#: ../../src/ed_menu.py:878
 
126
msgid "&Settings"
 
127
msgstr "&პარამეტრები"
 
128
 
 
129
#: ../../src/ed_menu.py:791
 
130
msgid "&Toolbar"
 
131
msgstr "&ხელსაწყოთა ზოლი"
 
132
 
 
133
#: ../../src/ed_menu.py:907
 
134
msgid "&Tools"
 
135
msgstr "&ხელსაწყოები"
 
136
 
 
137
#: ../../src/ed_menu.py:655
 
138
msgid "&Undo"
 
139
msgstr "&უკუქმნა"
 
140
 
 
141
#: ../../src/ed_menu.py:795
 
142
msgid "&View"
 
143
msgstr "&ნახვა"
 
144
 
 
145
#: ../../plugins/Launch/launch/cfgdlg.py:273
 
146
msgid "**Alias**"
 
147
msgstr "გაერთიანება"
 
148
 
 
149
#: ../../plugins/Launch/launch/cfgdlg.py:273
 
150
msgid "**New Commandline**"
 
151
msgstr ""
 
152
 
 
153
#: ../../plugins/Launch/launch/cfgdlg.py:405
 
154
msgid "0-50000 (0 unlimited)"
 
155
msgstr ""
 
156
 
 
157
#: ../../src/eclib/filterdlg.py:76
 
158
msgid "<< Remove"
 
159
msgstr ""
 
160
 
 
161
#: ../../src/eclib/errdlg.py:320 ../../plugins/Launch/launch/launch.py:537
 
162
msgid "Abort"
 
163
msgstr "შეწყვეტა"
 
164
 
 
165
#: ../../src/ed_menu.py:917
 
166
msgid "About"
 
167
msgstr "შესახებ"
 
168
 
 
169
#: ../../plugins/filebrowser/filebrowser/browser.py:524
 
170
msgid "Access Denied"
 
171
msgstr ""
 
172
 
 
173
#: ../../src/ed_cmdbar.py:802
 
174
#, python-format
 
175
msgid "Access Denied: %s"
 
176
msgstr ""
 
177
 
 
178
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:61
 
179
msgid "Actions"
 
180
msgstr ""
 
181
 
 
182
#: ../../src/ed_editv.py:514
 
183
#, python-format
 
184
msgid "Add '%s' to dictionary"
 
185
msgstr ""
 
186
 
 
187
#: ../../src/eclib/filterdlg.py:75
 
188
msgid "Add >>"
 
189
msgstr ""
 
190
 
 
191
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:114
 
192
msgid "Add File Filters"
 
193
msgstr ""
 
194
 
 
195
#: ../../plugins/Launch/launch/cfgdlg.py:203
 
196
msgid "Add a new executable"
 
197
msgstr "ახალი ეშვებისის დამატება"
 
198
 
 
199
#: ../../src/ed_menu.py:676
 
200
msgid "Add a new line after the current line"
 
201
msgstr "სტრიქონი დაემეტოს მიმდინარე სტრიქონის შემდეგ"
 
202
 
 
203
#: ../../src/ed_menu.py:678
 
204
msgid "Add a new line before the current line"
 
205
msgstr "სტრიქონი დაემეტოს მიმდინარე სტრიქონის წინ"
 
206
 
 
207
#: ../../src/ed_menu.py:706
 
208
msgid "Add and remove bookmarks"
 
209
msgstr "სანიშნეების დამატება/წაშლა"
 
210
 
 
211
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:87
 
212
msgid "Add filter"
 
213
msgstr ""
 
214
 
 
215
#: ../../src/prefdlg.py:815
 
216
msgid "Adds extra scrolling room after last line"
 
217
msgstr ""
 
218
 
 
219
#: ../../src/prefdlg.py:193
 
220
msgid "Advanced"
 
221
msgstr "დაწვრილებითი"
 
222
 
 
223
#: ../../plugins/Launch/launch/cfgdlg.py:583
 
224
msgid "Alias"
 
225
msgstr "მეტსახელი"
 
226
 
 
227
#: ../../src/ed_log.py:165 ../../src/ed_log.py:244
 
228
msgid "All"
 
229
msgstr "ყველა"
 
230
 
 
231
#: ../../plugins/codebrowser/codebrowser/cbconfig.py:42
 
232
msgid "Alphabetically"
 
233
msgstr ""
 
234
 
 
235
#: ../../src/Editra.py:643
 
236
#, python-format
 
237
msgid ""
 
238
"An updated version of Editra is available\n"
 
239
"Would you like to download Editra %s now?"
 
240
msgstr ""
 
241
"გამოვიდა Editra-ს განახლებული ვერსია.\n"
 
242
"გსურთ Editra %s-ის ახლავე გადმოწერა?"
 
243
 
 
244
#: ../../src/prefdlg.py:801
 
245
msgid "AntiAliasing"
 
246
msgstr ""
 
247
 
 
248
#: ../../src/prefdlg.py:187
 
249
msgid "Appearance"
 
250
msgstr "იერსახე"
 
251
 
 
252
#: ../../src/prefdlg.py:1820
 
253
msgid "Apply"
 
254
msgstr "გამოყენება"
 
255
 
 
256
#: ../../src/eclib/filemgrdlg.py:167
 
257
#, python-format
 
258
msgid "Are you sure want to delete %s?"
 
259
msgstr ""
 
260
 
 
261
#: ../../src/plugdlg.py:1047
 
262
#, python-format
 
263
msgid ""
 
264
"Are you sure you want to uninstall %s?\n"
 
265
"This cannot be undone."
 
266
msgstr ""
 
267
 
 
268
#: ../../plugins/Launch/launch/launch.py:172
 
269
msgid "Arguments"
 
270
msgstr ""
 
271
 
 
272
#: ../../src/style_editor.py:765
 
273
msgid "Attributes"
 
274
msgstr ""
 
275
 
 
276
#: ../../plugins/Launch/launch/cfgdlg.py:396
 
277
msgid "Audible feedback when errors are detected"
 
278
msgstr ""
 
279
 
 
280
#: ../../src/ed_main.py:1251
 
281
msgid "Aui Pane Navigator"
 
282
msgstr ""
 
283
 
 
284
#: ../../src/plugdlg.py:933 ../../src/plugdlg.py:1119
 
285
#, python-format
 
286
msgid "Author: %s"
 
287
msgstr ""
 
288
 
 
289
#: ../../src/ed_menu.py:863 ../../src/prefdlg.py:991
 
290
msgid "Auto-Completion"
 
291
msgstr "თვითშევსება"
 
292
 
 
293
#: ../../src/ed_menu.py:865 ../../src/prefdlg.py:1001
 
294
msgid "Auto-Indent"
 
295
msgstr "თვითდააბზაცება"
 
296
 
 
297
#: ../../src/perspective.py:86
 
298
msgid "Automatic"
 
299
msgstr ""
 
300
 
 
301
#: ../../src/prefdlg.py:543
 
302
msgid "Automatically Backup Files"
 
303
msgstr ""
 
304
 
 
305
#: ../../plugins/Launch/launch/cfgdlg.py:393
 
306
msgid "Automatically clear output buffer between runs"
 
307
msgstr ""
 
308
 
 
309
#: ../../src/prefdlg.py:518
 
310
msgid "Automatically reload files when changes are detected on disk"
 
311
msgstr ""
 
312
 
 
313
#: ../../plugins/Launch/launch/cfgdlg.py:544
 
314
msgid "Automatically save all open files before running"
 
315
msgstr ""
 
316
 
 
317
#: ../../plugins/Launch/launch/cfgdlg.py:541
 
318
msgid "Automatically save current file before running"
 
319
msgstr ""
 
320
 
 
321
#: ../../src/perspective.py:87
 
322
msgid "Automatically save/use window state from last session"
 
323
msgstr "ბოლო სესიის სარკმლის თვითშენახვა/გამოყენება"
 
324
 
 
325
#: ../../src/prefdlg.py:778
 
326
msgid "Automatically trim whitespace on save"
 
327
msgstr "შენახვისას ავტომატურად გასუფთავდეს მიმბჯენი შორისები."
 
328
 
 
329
#: ../../src/style_editor.py:754 ../../plugins/Launch/launch/cfgdlg.py:424
 
330
msgid "Background"
 
331
msgstr ""
 
332
 
 
333
#: ../../src/prefdlg.py:784
 
334
msgid "Backspace Unindents"
 
335
msgstr "უკუისარმა მოაცილოს თვითდააბზაცება"
 
336
 
 
337
#: ../../src/prefdlg.py:549
 
338
msgid "Backup Path:"
 
339
msgstr ""
 
340
 
 
341
#: ../../src/prefdlg.py:546
 
342
msgid "Backup buffer to file periodically"
 
343
msgstr ""
 
344
 
 
345
#: ../../src/prefdlg.py:566
 
346
msgid "Backup file suffix:"
 
347
msgstr ""
 
348
 
 
349
#: ../../src/prefdlg.py:1794
 
350
msgid "Binding"
 
351
msgstr "მიკინძული"
 
352
 
 
353
#: ../../src/prefdlg.py:2214
 
354
msgid "Black/White"
 
355
msgstr "შავი/თეთრი"
 
356
 
 
357
#: ../../src/ed_bookmark.py:273
 
358
msgid "Bookmark"
 
359
msgstr ""
 
360
 
 
361
#: ../../plugins/filebrowser/filebrowser/browser.py:644
 
362
msgid "Bookmark Selected Path(s)"
 
363
msgstr "სანიშნე"
 
364
 
 
365
#: ../../src/ed_bookmark.py:291
 
366
#, python-format
 
367
msgid "Bookmark%d"
 
368
msgstr ""
 
369
 
 
370
#: ../../src/ed_bookmark.py:79 ../../src/ed_menu.py:705
 
371
msgid "Bookmarks"
 
372
msgstr "სანიშნეები"
 
373
 
 
374
#: ../../src/ed_menu.py:868 ../../src/prefdlg.py:971
 
375
msgid "Bracket Highlighting"
 
376
msgstr "ოთხკუთხედ ფრჩხილთა ამონათება"
 
377
 
 
378
#: ../../plugins/Launch/launch/cfgdlg.py:600
 
379
msgid "Browse..."
 
380
msgstr ""
 
381
 
 
382
#: ../../src/ed_menu.py:925
 
383
msgid "Bug Tracker..."
 
384
msgstr ""
 
385
 
 
386
#: ../../src/ed_cmdbar.py:599
 
387
#, python-format
 
388
msgid "Can't change directory to: %s"
 
389
msgstr ""
 
390
 
 
391
#: ../../src/ed_search.py:1339 ../../src/style_editor.py:86
 
392
#: ../../src/updater.py:582
 
393
msgid "Cancel"
 
394
msgstr "გაუქმება"
 
395
 
 
396
#: ../../src/updater.py:662
 
397
msgid "Canceled"
 
398
msgstr "გაუქმებული"
 
399
 
 
400
#: ../../src/prefdlg.py:817
 
401
msgid "Caret Width:"
 
402
msgstr ""
 
403
 
 
404
#: ../../src/ed_statbar.py:193
 
405
msgid "Change Encoding"
 
406
msgstr ""
 
407
 
 
408
#: ../../src/ed_menu.py:805
 
409
msgid "Change Font Settings"
 
410
msgstr "შრიფტის თვისებათა შეცვლა"
 
411
 
 
412
#: ../../src/ed_statbar.py:62 ../../src/ed_statbar.py:65
 
413
#: ../../src/ed_statbar.py:68
 
414
#, python-format
 
415
msgid "Change line endings to %s"
 
416
msgstr ""
 
417
 
 
418
#: ../../src/ed_statbar.py:192
 
419
msgid "Change the encoding of the current document."
 
420
msgstr ""
 
421
 
 
422
#: ../../src/perspective.py:146
 
423
#, python-format
 
424
msgid "Change view to \"%s\""
 
425
msgstr ""
 
426
 
 
427
#: ../../src/prefdlg.py:283
 
428
msgid "Changes made in this dialog are saved in your current profile. Some Items such as Language require the program to be restarted before taking effect."
 
429
msgstr ""
 
430
 
 
431
#: ../../src/plugdlg.py:234
 
432
msgid "Changes will take affect once the program has been restarted"
 
433
msgstr ""
 
434
 
 
435
#: ../../src/prefdlg.py:1576
 
436
msgid "Check"
 
437
msgstr ""
 
438
 
 
439
#: ../../src/prefdlg.py:414
 
440
msgid "Check for updates on startup"
 
441
msgstr ""
 
442
 
 
443
#: ../../src/prefdlg.py:513
 
444
msgid "Check if on disk file has been modified by others"
 
445
msgstr ""
 
446
 
 
447
#: ../../src/prefdlg.py:584
 
448
msgid "Check spelling while typing"
 
449
msgstr ""
 
450
 
 
451
#: ../../src/prefdlg.py:1602
 
452
msgid "Checking..."
 
453
msgstr ""
 
454
 
 
455
#: ../../src/eclib/finddlg.py:810
 
456
msgid "Choose Folder"
 
457
msgstr ""
 
458
 
 
459
#: ../../src/eclib/finddlg.py:1142
 
460
msgid "Choose Search Folder"
 
461
msgstr ""
 
462
 
 
463
#: ../../src/ed_main.py:679
 
464
msgid "Choose a Save Location"
 
465
msgstr ""
 
466
 
 
467
#: ../../src/ed_pages.py:170
 
468
msgid "Choose an Encoding"
 
469
msgstr ""
 
470
 
 
471
#: ../../plugins/Launch/launch/cfgdlg.py:609
 
472
msgid "Choose and executable"
 
473
msgstr ""
 
474
 
 
475
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
476
msgid "Class Definitions"
 
477
msgstr ""
 
478
 
 
479
#: ../../src/ed_log.py:139 ../../src/ed_search.py:1343
 
480
#: ../../plugins/Launch/launch/launch.py:181
 
481
#: ../../plugins/PyShell/PyShell/__init__.py:112
 
482
msgid "Clear"
 
483
msgstr ""
 
484
 
 
485
#: ../../plugins/Launch/launch/cfgdlg.py:580
 
486
msgid "Click on an item to edit"
 
487
msgstr ""
 
488
 
 
489
#: ../../src/ed_editv.py:281
 
490
#, python-format
 
491
msgid "Close \"%s\""
 
492
msgstr ""
 
493
 
 
494
#: ../../src/ed_editv.py:283
 
495
msgid "Close All"
 
496
msgstr ""
 
497
 
 
498
#: ../../src/ed_shelf.py:173
 
499
#, python-format
 
500
msgid "Close All '%s'"
 
501
msgstr ""
 
502
 
 
503
#: ../../src/ed_menu.py:604
 
504
msgid "Close All Tabs"
 
505
msgstr ""
 
506
 
 
507
#: ../../src/ed_menu.py:601
 
508
msgid "Close Current Tab"
 
509
msgstr ""
 
510
 
 
511
#: ../../src/ed_editv.py:282
 
512
msgid "Close Other Tabs"
 
513
msgstr ""
 
514
 
 
515
#: ../../src/ed_menu.py:603
 
516
msgid "Close Window"
 
517
msgstr ""
 
518
 
 
519
#: ../../src/ed_menu.py:605
 
520
msgid "Close all open tabs"
 
521
msgstr ""
 
522
 
 
523
#: ../../src/ed_menu.py:603
 
524
msgid "Close the current window"
 
525
msgstr ""
 
526
 
 
527
#: ../../src/prefdlg.py:714
 
528
msgid "Code"
 
529
msgstr ""
 
530
 
 
531
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:92
 
532
msgid "Code Browser"
 
533
msgstr ""
 
534
 
 
535
#: ../../src/ed_menu.py:765 ../../src/ed_menu.py:870 ../../src/prefdlg.py:973
 
536
msgid "Code Folding"
 
537
msgstr ""
 
538
 
 
539
#: ../../src/ed_menu.py:766
 
540
msgid "Code folding toggle actions"
 
541
msgstr ""
 
542
 
 
543
#: ../../plugins/codebrowser/codebrowser/__init__.py:48
 
544
#: ../../plugins/codebrowser/codebrowser/__init__.py:95
 
545
msgid "CodeBrowser"
 
546
msgstr ""
 
547
 
 
548
#: ../../src/style_editor.py:737
 
549
msgid "Color"
 
550
msgstr ""
 
551
 
 
552
#: ../../src/ed_menu.py:873
 
553
msgid "Color Highlight Code Syntax"
 
554
msgstr ""
 
555
 
 
556
#: ../../src/prefdlg.py:1141
 
557
msgid "Color Scheme"
 
558
msgstr ""
 
559
 
 
560
#: ../../plugins/PyShell/PyShell/__init__.py:108
 
561
msgid "Color Scheme:"
 
562
msgstr ""
 
563
 
 
564
#: ../../src/prefdlg.py:2216
 
565
msgid "Colour/Default"
 
566
msgstr ""
 
567
 
 
568
#: ../../src/prefdlg.py:2215
 
569
msgid "Colour/White"
 
570
msgstr ""
 
571
 
 
572
#: ../../src/ed_menu.py:671
 
573
msgid "Column Edit"
 
574
msgstr ""
 
575
 
 
576
#: ../../src/ed_cmdbar.py:438
 
577
msgid "Command"
 
578
msgstr ""
 
579
 
 
580
#: ../../src/ed_menu.py:699
 
581
msgid "Commands that affect an entire line"
 
582
msgstr ""
 
583
 
 
584
#: ../../src/prefdlg.py:1386
 
585
msgid "Configuration"
 
586
msgstr ""
 
587
 
 
588
#: ../../src/plugdlg.py:195
 
589
msgid "Configuration Changes Made"
 
590
msgstr ""
 
591
 
 
592
#: ../../src/plugdlg.py:120 ../../src/plugdlg.py:942
 
593
msgid "Configure"
 
594
msgstr ""
 
595
 
 
596
#: ../../src/ed_menu.py:638
 
597
msgid "Configure Printer"
 
598
msgstr ""
 
599
 
 
600
#: ../../src/ed_menu.py:819
 
601
msgid "Convert selected text to all lowercase letters"
 
602
msgstr ""
 
603
 
 
604
#: ../../src/ed_menu.py:817
 
605
msgid "Convert selected text to all uppercase letters"
 
606
msgstr ""
 
607
 
 
608
#: ../../src/ed_menu.py:831
 
609
msgid "Convert spaces to tabs in selected/all text"
 
610
msgstr ""
 
611
 
 
612
#: ../../src/ed_menu.py:833
 
613
msgid "Convert tabs to spaces in selected/all text"
 
614
msgstr ""
 
615
 
 
616
#: ../../src/ed_editv.py:466 ../../src/ed_toolbar.py:88
 
617
msgid "Copy"
 
618
msgstr ""
 
619
 
 
620
#: ../../src/ed_menu.py:685
 
621
msgid "Copy Current Line"
 
622
msgstr ""
 
623
 
 
624
#: ../../src/ed_editv.py:285
 
625
msgid "Copy Filename"
 
626
msgstr ""
 
627
 
 
628
#: ../../src/ed_editv.py:286
 
629
msgid "Copy Full Path"
 
630
msgstr ""
 
631
 
 
632
#: ../../src/ed_menu.py:684
 
633
msgid "Copy Line"
 
634
msgstr ""
 
635
 
 
636
#: ../../src/ed_menu.py:661 ../../src/ed_toolbar.py:89
 
637
msgid "Copy Selected Text to Clipboard"
 
638
msgstr ""
 
639
 
 
640
#: ../../src/ed_main.py:1572
 
641
msgid "Copyright"
 
642
msgstr ""
 
643
 
 
644
#: ../../src/eclib/finddlg.py:865
 
645
msgid "Count"
 
646
msgstr ""
 
647
 
 
648
#: ../../plugins/filebrowser/filebrowser/browser.py:656
 
649
#: ../../plugins/filebrowser/filebrowser/browser.py:678
 
650
#, python-format
 
651
msgid "Create Archive of \"%s\""
 
652
msgstr ""
 
653
 
 
654
#: ../../src/style_editor.py:207
 
655
msgid "Create a new style theme"
 
656
msgstr ""
 
657
 
 
658
#: ../../src/eclib/infodlg.py:193
 
659
msgid "Created"
 
660
msgstr ""
 
661
 
 
662
#: ../../src/ed_menu.py:658
 
663
msgid "Cu&t"
 
664
msgstr ""
 
665
 
 
666
#: ../../src/eclib/finddlg.py:732
 
667
msgid "Current Directory"
 
668
msgstr ""
 
669
 
 
670
#: ../../src/eclib/finddlg.py:731
 
671
msgid "Current Document"
 
672
msgstr ""
 
673
 
 
674
#: ../../src/ed_cmdbar.py:128
 
675
msgid "Customize"
 
676
msgstr ""
 
677
 
 
678
#: ../../src/ed_main.py:1448
 
679
msgid "Customize Menu"
 
680
msgstr ""
 
681
 
 
682
#: ../../src/ed_menu.py:541
 
683
msgid "Customize the items shown in this menu."
 
684
msgstr ""
 
685
 
 
686
#: ../../src/ed_menu.py:540
 
687
msgid "Customize..."
 
688
msgstr ""
 
689
 
 
690
#: ../../src/ed_editv.py:465 ../../src/ed_toolbar.py:86
 
691
msgid "Cut"
 
692
msgstr ""
 
693
 
 
694
#: ../../src/ed_menu.py:681
 
695
msgid "Cut Current Line"
 
696
msgstr ""
 
697
 
 
698
#: ../../src/ed_menu.py:680
 
699
msgid "Cut Line"
 
700
msgstr ""
 
701
 
 
702
#: ../../src/ed_menu.py:659 ../../src/ed_toolbar.py:87
 
703
msgid "Cut Selected Text from File"
 
704
msgstr ""
 
705
 
 
706
#: ../../src/ed_menu.py:666
 
707
msgid "Cycle Clipboard"
 
708
msgstr ""
 
709
 
 
710
#: ../../src/ed_menu.py:667
 
711
msgid "Cycle through recent clipboard text"
 
712
msgstr ""
 
713
 
 
714
#: ../../src/ed_search.py:66 ../../src/ed_search.py:70
 
715
msgid "DECODING ERROR"
 
716
msgstr ""
 
717
 
 
718
#: ../../src/ed_main.py:795 ../../src/ed_main.py:797 ../../src/ed_main.py:814
 
719
#: ../../src/ed_main.py:826 ../../src/ed_session.py:277
 
720
#: ../../plugins/Launch/launch/cfgdlg.py:191
 
721
msgid "Default"
 
722
msgstr ""
 
723
 
 
724
#: ../../src/prefdlg.py:796
 
725
msgid "Default EOL Mode"
 
726
msgstr ""
 
727
 
 
728
#: ../../src/prefdlg.py:964
 
729
msgid "Default Lexer"
 
730
msgstr ""
 
731
 
 
732
#: ../../src/prefdlg.py:1244
 
733
msgid "Default Perspective"
 
734
msgstr ""
 
735
 
 
736
#: ../../src/prefdlg.py:962
 
737
msgid "Default highlighing for new documents"
 
738
msgstr ""
 
739
 
 
740
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
741
msgid "Defines"
 
742
msgstr ""
 
743
 
 
744
#: ../../src/ed_bookmark.py:142 ../../src/ed_session.py:186
 
745
#: ../../src/prefdlg.py:1763
 
746
msgid "Delete"
 
747
msgstr ""
 
748
 
 
749
#: ../../src/ed_bookmark.py:145
 
750
msgid "Delete All"
 
751
msgstr ""
 
752
 
 
753
#: ../../src/ed_bookmark.py:144
 
754
msgid "Delete Bookmark"
 
755
msgstr ""
 
756
 
 
757
#: ../../src/eclib/filemgrdlg.py:175
 
758
msgid "Delete Error"
 
759
msgstr ""
 
760
 
 
761
#: ../../src/eclib/filemgrdlg.py:168
 
762
msgid "Delete File?"
 
763
msgstr ""
 
764
 
 
765
#: ../../src/ed_menu.py:682
 
766
msgid "Delete Line"
 
767
msgstr ""
 
768
 
 
769
#: ../../src/perspective.py:294
 
770
msgid "Delete Perspective"
 
771
msgstr ""
 
772
 
 
773
#: ../../src/perspective.py:84
 
774
msgid "Delete Saved View"
 
775
msgstr ""
 
776
 
 
777
#: ../../src/ed_bookmark.py:148
 
778
msgid "Delete all bookmarks"
 
779
msgstr ""
 
780
 
 
781
#: ../../src/ed_menu.py:683
 
782
msgid "Delete the selected line(s)"
 
783
msgstr ""
 
784
 
 
785
#: ../../src/prefdlg.py:591
 
786
msgid "Dictionary:"
 
787
msgstr ""
 
788
 
 
789
#: ../../src/eclib/finddlg.py:822
 
790
msgid "Direction"
 
791
msgstr ""
 
792
 
 
793
#: ../../src/prefdlg.py:401
 
794
msgid "Disable Error Reporter"
 
795
msgstr ""
 
796
 
 
797
#: ../../src/prefdlg.py:1286
 
798
msgid "Display Font"
 
799
msgstr ""
 
800
 
 
801
#: ../../src/plugdlg.py:182
 
802
msgid "Do you wish to exit?"
 
803
msgstr ""
 
804
 
 
805
#: ../../src/ed_pages.py:985
 
806
#, python-format
 
807
msgid ""
 
808
"Do you wish to open all %d files in this directory?\n"
 
809
"\n"
 
810
"Warning: opening many files at once may cause the editor to temporarily  freeze."
 
811
msgstr ""
 
812
 
 
813
#: ../../src/prefdlg.py:189
 
814
msgid "Document"
 
815
msgstr ""
 
816
 
 
817
#: ../../src/eclib/finddlg.py:826
 
818
msgid "Down"
 
819
msgstr ""
 
820
 
 
821
#: ../../src/plugdlg.py:121 ../../src/plugdlg.py:451 ../../src/plugdlg.py:1097
 
822
#: ../../src/prefdlg.py:1571
 
823
msgid "Download"
 
824
msgstr ""
 
825
 
 
826
#: ../../src/plugdlg.py:474
 
827
msgid "Downloaded"
 
828
msgstr ""
 
829
 
 
830
#: ../../src/plugdlg.py:589 ../../src/updater.py:618
 
831
msgid "Downloading"
 
832
msgstr ""
 
833
 
 
834
#: ../../src/updater.py:581
 
835
#, python-format
 
836
msgid "Downloading To: %s"
 
837
msgstr ""
 
838
 
 
839
#: ../../src/Editra.py:649 ../../src/prefdlg.py:1613
 
840
msgid "Downloading Update"
 
841
msgstr ""
 
842
 
 
843
#: ../../src/updater.py:579
 
844
#, python-format
 
845
msgid "Downloading: %s"
 
846
msgstr ""
 
847
 
 
848
#: ../../src/plugdlg.py:181
 
849
msgid "Downloads are incomplete"
 
850
msgstr ""
 
851
 
 
852
#: ../../plugins/filebrowser/filebrowser/browser.py:655
 
853
msgid "Duplicate"
 
854
msgstr ""
 
855
 
 
856
#: ../../src/ed_menu.py:686
 
857
msgid "Duplicate Line"
 
858
msgstr ""
 
859
 
 
860
#: ../../src/ed_menu.py:687
 
861
msgid "Duplicate the current line"
 
862
msgstr ""
 
863
 
 
864
#: ../../src/ed_menu.py:643
 
865
msgid "E&xit"
 
866
msgstr ""
 
867
 
 
868
#: ../../src/ed_menu.py:850
 
869
msgid "EOL Mode"
 
870
msgstr ""
 
871
 
 
872
#: ../../src/ed_main.py:629
 
873
#, python-format
 
874
msgid "ERROR: %s"
 
875
msgstr ""
 
876
 
 
877
#: ../../src/ed_main.py:695
 
878
#, python-format
 
879
msgid "ERROR: Failed to save %s"
 
880
msgstr ""
 
881
 
 
882
#: ../../src/prefdlg.py:975
 
883
msgid "Edge Guide"
 
884
msgstr ""
 
885
 
 
886
#: ../../plugins/filebrowser/filebrowser/browser.py:640
 
887
msgid "Edit"
 
888
msgstr ""
 
889
 
 
890
#: ../../src/ed_menu.py:727
 
891
msgid "Edit Preferences / Settings"
 
892
msgstr ""
 
893
 
 
894
#: ../../src/ed_menu.py:896
 
895
msgid "Edit the way syntax is highlighted"
 
896
msgstr ""
 
897
 
 
898
#: ../../src/ed_menu.py:758
 
899
msgid "Editor"
 
900
msgstr ""
 
901
 
 
902
#: ../../src/ed_menu.py:889
 
903
msgid "Editor Command"
 
904
msgstr ""
 
905
 
 
906
#: ../../src/prefdlg.py:385
 
907
msgid "Editor Mode"
 
908
msgstr ""
 
909
 
 
910
#: ../../plugins/Launch/launch/cfgdlg.py:539
 
911
msgid "Editor Options"
 
912
msgstr ""
 
913
 
 
914
#: ../../src/ed_log.py:80
 
915
msgid "Editra Log"
 
916
msgstr ""
 
917
 
 
918
#: ../../src/ed_mdlg.py:85
 
919
#, python-format
 
920
msgid ""
 
921
"Editra could not open %(filename)s\n"
 
922
"\n"
 
923
"Error:\n"
 
924
"%(errormsg)s"
 
925
msgstr ""
 
926
 
 
927
#: ../../src/ed_main.py:1562
 
928
msgid "Editra is a programmers text editor."
 
929
msgstr ""
 
930
 
 
931
#: ../../src/ed_menu.py:924
 
932
msgid "Editra translations project"
 
933
msgstr ""
 
934
 
 
935
#: ../../src/ed_main.py:433
 
936
msgid "Editra: Open"
 
937
msgstr ""
 
938
 
 
939
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:59
 
940
msgid "Elements"
 
941
msgstr ""
 
942
 
 
943
#: ../../src/ed_pages.py:374
 
944
msgid "Empty File"
 
945
msgstr ""
 
946
 
 
947
#: ../../src/plugdlg.py:934
 
948
msgid "Enable"
 
949
msgstr ""
 
950
 
 
951
#: ../../src/prefdlg.py:1003
 
952
msgid "Enable Vi Emulation"
 
953
msgstr ""
 
954
 
 
955
#: ../../src/ed_menu.py:672
 
956
msgid "Enable column edit mode."
 
957
msgstr ""
 
958
 
 
959
#: ../../src/prefdlg.py:601
 
960
msgid "Enchant Path:"
 
961
msgstr ""
 
962
 
 
963
#: ../../src/prefdlg.py:501
 
964
msgid "Encoding to try when auto detection fails"
 
965
msgstr ""
 
966
 
 
967
#: ../../src/ed_menu.py:851
 
968
msgid "End of line character formatting"
 
969
msgstr ""
 
970
 
 
971
#: ../../src/eclib/colorsetter.py:84
 
972
msgid "Enter a hex color value"
 
973
msgstr ""
 
974
 
 
975
#: ../../plugins/filebrowser/filebrowser/browser.py:826
 
976
msgid "Enter file name:"
 
977
msgstr ""
 
978
 
 
979
#: ../../plugins/filebrowser/filebrowser/browser.py:817
 
980
msgid "Enter folder name:"
 
981
msgstr ""
 
982
 
 
983
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:114
 
984
msgid "Enter new filter"
 
985
msgstr ""
 
986
 
 
987
#: ../../src/style_editor.py:364
 
988
msgid "Enter style sheet name"
 
989
msgstr ""
 
990
 
 
991
#: ../../src/prefdlg.py:1962
 
992
msgid "Enter the name of the new key profile"
 
993
msgstr ""
 
994
 
 
995
#: ../../src/ed_editv.py:221 ../../src/plugdlg.py:825
 
996
msgid "Error"
 
997
msgstr ""
 
998
 
 
999
#: ../../src/ed_mdlg.py:87
 
1000
msgid "Error Opening File"
 
1001
msgstr ""
 
1002
 
 
1003
#: ../../plugins/Launch/launch/cfgdlg.py:434
 
1004
msgid "Error Text"
 
1005
msgstr ""
 
1006
 
 
1007
#: ../../src/eclib/errdlg.py:316
 
1008
msgid "Error Traceback:"
 
1009
msgstr ""
 
1010
 
 
1011
#: ../../src/ed_search.py:606
 
1012
#, python-format
 
1013
msgid ""
 
1014
"Error in regular expression expansion.The replace action cannot be completed.\n"
 
1015
"\n"
 
1016
"Error Message: %s"
 
1017
msgstr ""
 
1018
 
 
1019
#: ../../src/dev_tool.py:260
 
1020
msgid ""
 
1021
"Error: Something unexpected happend\n"
 
1022
"Help improve Editra by clicking on Report Error\n"
 
1023
"to send the Error Traceback shown below."
 
1024
msgstr ""
 
1025
 
 
1026
#: ../../src/ed_main.py:1499
 
1027
#, python-format
 
1028
msgid "Error: Unable to open %s"
 
1029
msgstr ""
 
1030
 
 
1031
#: ../../src/plugdlg.py:130
 
1032
msgid "Errors"
 
1033
msgstr ""
 
1034
 
 
1035
#: ../../plugins/Launch/launch/cfgdlg.py:584
 
1036
msgid "Executable Commands"
 
1037
msgstr ""
 
1038
 
 
1039
#: ../../plugins/Launch/launch/cfgdlg.py:176
 
1040
msgid "Executables"
 
1041
msgstr ""
 
1042
 
 
1043
#: ../../plugins/Launch/launch/launch.py:703
 
1044
msgid "Exit Code"
 
1045
msgstr ""
 
1046
 
 
1047
#: ../../src/ed_menu.py:643
 
1048
msgid "Exit the Program"
 
1049
msgstr ""
 
1050
 
 
1051
#: ../../src/eclib/errdlg.py:321
 
1052
msgid "Exit the application"
 
1053
msgstr ""
 
1054
 
 
1055
#: ../../src/prefdlg.py:994
 
1056
msgid "Extended Auto-Comp"
 
1057
msgstr ""
 
1058
 
 
1059
#: ../../src/prefdlg.py:2103
 
1060
msgid "Extensions (space separated, no dots)"
 
1061
msgstr ""
 
1062
 
 
1063
#: ../../src/ed_menu.py:854
 
1064
msgid "F&ormat"
 
1065
msgstr ""
 
1066
 
 
1067
#: ../../src/updater.py:700
 
1068
msgid "Failed"
 
1069
msgstr ""
 
1070
 
 
1071
#: ../../plugins/filebrowser/filebrowser/browser.py:831
 
1072
msgid "Failed to create file"
 
1073
msgstr ""
 
1074
 
 
1075
#: ../../plugins/filebrowser/filebrowser/browser.py:822
 
1076
msgid "Failed to create folder"
 
1077
msgstr ""
 
1078
 
 
1079
#: ../../src/ed_print.py:120
 
1080
msgid "Failed to create print preview"
 
1081
msgstr ""
 
1082
 
 
1083
#: ../../src/style_editor.py:381
 
1084
#, python-format
 
1085
msgid ""
 
1086
"Failed to delete style sheet:\n"
 
1087
"Error:\n"
 
1088
"%s"
 
1089
msgstr ""
 
1090
 
 
1091
#: ../../src/ed_main.py:849
 
1092
msgid "Failed to get control reference for printing"
 
1093
msgstr ""
 
1094
 
 
1095
#: ../../src/plugdlg.py:827
 
1096
#, python-format
 
1097
msgid "Failed to install %d plugins"
 
1098
msgstr ""
 
1099
 
 
1100
#: ../../src/prefdlg.py:677
 
1101
msgid "Failed to load Enchant"
 
1102
msgstr ""
 
1103
 
 
1104
#: ../../src/ed_pages.py:370
 
1105
#, python-format
 
1106
msgid ""
 
1107
"Failed to load the session: %(sessionname)s\n"
 
1108
"\n"
 
1109
"Error: %(error)s"
 
1110
msgstr ""
 
1111
 
 
1112
#: ../../src/ed_editv.py:219
 
1113
#, python-format
 
1114
msgid ""
 
1115
"Failed to reload %(filename)s:\n"
 
1116
"Error: %(errmsg)s"
 
1117
msgstr ""
 
1118
 
 
1119
#: ../../src/ed_editv.py:209
 
1120
#, python-format
 
1121
msgid ""
 
1122
"Failed to reload file\n"
 
1123
"\n"
 
1124
"Error:\n"
 
1125
"%s"
 
1126
msgstr ""
 
1127
 
 
1128
#: ../../src/ed_main.py:1231
 
1129
#, python-format
 
1130
msgid "Failed to reload the file with: %(encoding)s"
 
1131
msgstr ""
 
1132
 
 
1133
#: ../../src/ed_stc.py:1836
 
1134
#, python-format
 
1135
msgid "Failed to reload: %s"
 
1136
msgstr ""
 
1137
 
 
1138
#: ../../src/ed_mdlg.py:104
 
1139
#, python-format
 
1140
msgid ""
 
1141
"Failed to save file: %(filename)s\n"
 
1142
"\n"
 
1143
"Error:\n"
 
1144
"%(errormsg)s"
 
1145
msgstr ""
 
1146
 
 
1147
#: ../../src/ed_menu.py:926
 
1148
msgid "Feedback"
 
1149
msgstr ""
 
1150
 
 
1151
#: ../../src/prefdlg.py:540
 
1152
msgid "File Backups"
 
1153
msgstr ""
 
1154
 
 
1155
#: ../../plugins/filebrowser/filebrowser/browser.py:211
 
1156
msgid "File Browser"
 
1157
msgstr ""
 
1158
 
 
1159
#: ../../src/eclib/finddlg.py:735
 
1160
msgid "File Filters:"
 
1161
msgstr ""
 
1162
 
 
1163
#: ../../src/prefdlg.py:482
 
1164
msgid "File History Length"
 
1165
msgstr ""
 
1166
 
 
1167
#: ../../src/ed_bookmark.py:274
 
1168
msgid "File Location"
 
1169
msgstr ""
 
1170
 
 
1171
#: ../../src/ed_main.py:586
 
1172
msgid "File Not Found"
 
1173
msgstr ""
 
1174
 
 
1175
#: ../../src/prefdlg.py:529
 
1176
msgid "File Settings"
 
1177
msgstr ""
 
1178
 
 
1179
#: ../../src/eclib/infodlg.py:115
 
1180
msgid "File Stat Failed"
 
1181
msgstr ""
 
1182
 
 
1183
#: ../../plugins/Launch/launch/cfgdlg.py:171
 
1184
msgid "File Type"
 
1185
msgstr ""
 
1186
 
 
1187
#: ../../src/ed_stc.py:624
 
1188
#, python-format
 
1189
msgid "File backup performed: %s"
 
1190
msgstr ""
 
1191
 
 
1192
#: ../../src/ed_stc.py:1898
 
1193
msgid "File is Read Only and cannot be saved"
 
1194
msgstr ""
 
1195
 
 
1196
#: ../../src/ed_pages.py:212
 
1197
msgid ""
 
1198
"File is already open in an existing page.\n"
 
1199
"Do you wish to open it again?"
 
1200
msgstr ""
 
1201
 
 
1202
#: ../../src/ed_editv.py:210
 
1203
msgid "File read error"
 
1204
msgstr ""
 
1205
 
 
1206
#: ../../plugins/filebrowser/filebrowser/__init__.py:86
 
1207
msgid "FileBrowser"
 
1208
msgstr ""
 
1209
 
 
1210
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:45
 
1211
msgid "FileBrowser Config"
 
1212
msgstr ""
 
1213
 
 
1214
#: ../../src/prefdlg.py:306 ../../src/eclib/filemgrdlg.py:218
 
1215
msgid "Files"
 
1216
msgstr ""
 
1217
 
 
1218
#: ../../src/ed_search.py:1384
 
1219
#, python-format
 
1220
msgid "Files Searched: %d"
 
1221
msgstr ""
 
1222
 
 
1223
#: ../../src/prefdlg.py:1135
 
1224
msgid "Filetype Associations"
 
1225
msgstr ""
 
1226
 
 
1227
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:71
 
1228
#, fuzzy
 
1229
msgid "Filters"
 
1230
msgstr "&ფაილი"
 
1231
 
 
1232
#: ../../src/ed_cmdbar.py:293 ../../src/ed_search.py:157
 
1233
#: ../../src/ed_toolbar.py:93 ../../src/eclib/finddlg.py:651
 
1234
#: ../../src/eclib/finddlg.py:787 ../../src/eclib/finddlg.py:863
 
1235
msgid "Find"
 
1236
msgstr ""
 
1237
 
 
1238
#: ../../src/ed_cmdbar.py:309 ../../src/eclib/finddlg.py:866
 
1239
msgid "Find All"
 
1240
msgstr ""
 
1241
 
 
1242
#: ../../src/ed_search.py:371
 
1243
msgid "Find Count"
 
1244
msgstr ""
 
1245
 
 
1246
#: ../../src/ed_menu.py:721
 
1247
msgid "Find Next"
 
1248
msgstr ""
 
1249
 
 
1250
#: ../../src/eclib/finddlg.py:832
 
1251
msgid "Find Options"
 
1252
msgstr ""
 
1253
 
 
1254
#: ../../src/ed_menu.py:719
 
1255
msgid "Find Previous"
 
1256
msgstr ""
 
1257
 
 
1258
#: ../../src/ed_menu.py:723
 
1259
msgid "Find Selected"
 
1260
msgstr ""
 
1261
 
 
1262
#: ../../src/ed_menu.py:714 ../../src/ed_toolbar.py:93
 
1263
msgid "Find Text"
 
1264
msgstr ""
 
1265
 
 
1266
#: ../../src/ed_menu.py:716 ../../src/ed_toolbar.py:95
 
1267
msgid "Find and Replace Text"
 
1268
msgstr ""
 
1269
 
 
1270
#: ../../src/eclib/finddlg.py:785
 
1271
msgid "Find what"
 
1272
msgstr ""
 
1273
 
 
1274
#: ../../src/ed_menu.py:715
 
1275
msgid "Find/R&eplace"
 
1276
msgstr ""
 
1277
 
 
1278
#: ../../src/ed_search.py:157 ../../src/ed_toolbar.py:94
 
1279
msgid "Find/Replace"
 
1280
msgstr ""
 
1281
 
 
1282
#: ../../src/updater.py:697
 
1283
msgid "Finished"
 
1284
msgstr ""
 
1285
 
 
1286
#: ../../src/plugdlg.py:477
 
1287
msgid "Finshed downloading plugins"
 
1288
msgstr ""
 
1289
 
 
1290
#: ../../src/util.py:374 ../../src/eclib/infodlg.py:292
 
1291
msgid "Folder"
 
1292
msgstr ""
 
1293
 
 
1294
#: ../../src/style_editor.py:786
 
1295
msgid "Font"
 
1296
msgstr ""
 
1297
 
 
1298
#: ../../src/style_editor.py:781
 
1299
msgid "Font Settings"
 
1300
msgstr ""
 
1301
 
 
1302
#: ../../src/style_editor.py:742 ../../plugins/Launch/launch/cfgdlg.py:421
 
1303
msgid "Foreground"
 
1304
msgstr ""
 
1305
 
 
1306
#: ../../src/prefdlg.py:841
 
1307
msgid "Format"
 
1308
msgstr ""
 
1309
 
 
1310
#: ../../src/ed_stc.py:1215
 
1311
msgid "Format EOL?"
 
1312
msgstr ""
 
1313
 
 
1314
#: ../../src/ed_menu.py:842 ../../src/ed_menu.py:845 ../../src/ed_menu.py:848
 
1315
#, python-format
 
1316
msgid "Format all EOL characters to %s Mode"
 
1317
msgstr ""
 
1318
 
 
1319
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:52
 
1320
msgid "Function Definitions"
 
1321
msgstr ""
 
1322
 
 
1323
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:58
 
1324
msgid "Functions"
 
1325
msgstr ""
 
1326
 
 
1327
#: ../../src/prefdlg.py:185 ../../src/prefdlg.py:713 ../../src/prefdlg.py:1015
 
1328
#: ../../plugins/Launch/launch/cfgdlg.py:131
 
1329
msgid "General"
 
1330
msgstr ""
 
1331
 
 
1332
#: ../../src/generator.py:284 ../../src/generator.py:667
 
1333
#: ../../src/generator.py:870
 
1334
#, python-format
 
1335
msgid "Generate %s"
 
1336
msgstr ""
 
1337
 
 
1338
#: ../../src/generator.py:114
 
1339
msgid "Generate Code and Documents"
 
1340
msgstr ""
 
1341
 
 
1342
#: ../../src/generator.py:285 ../../src/generator.py:871
 
1343
#, python-format
 
1344
msgid "Generate a %s version of the current document"
 
1345
msgstr ""
 
1346
 
 
1347
#: ../../src/generator.py:668
 
1348
#, python-format
 
1349
msgid "Generate an %s version of the current document"
 
1350
msgstr ""
 
1351
 
 
1352
#: ../../src/generator.py:113
 
1353
msgid "Generator"
 
1354
msgstr ""
 
1355
 
 
1356
#: ../../plugins/filebrowser/filebrowser/browser.py:649
 
1357
msgid "Get Info"
 
1358
msgstr ""
 
1359
 
 
1360
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1361
msgid "Global Variables"
 
1362
msgstr ""
 
1363
 
 
1364
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:398
 
1365
#, python-format
 
1366
msgid "Goto \"%s\""
 
1367
msgstr ""
 
1368
 
 
1369
#: ../../src/ed_cmdbar.py:471
 
1370
msgid "Goto Line"
 
1371
msgstr ""
 
1372
 
 
1373
#: ../../src/ed_menu.py:775
 
1374
msgid "Goto Line Number"
 
1375
msgstr ""
 
1376
 
 
1377
#: ../../src/ed_menu.py:776
 
1378
msgid "Goto Matching Brace"
 
1379
msgstr ""
 
1380
 
 
1381
#: ../../src/ed_menu.py:890
 
1382
msgid "Goto command buffer"
 
1383
msgstr ""
 
1384
 
 
1385
#: ../../src/ed_menu.py:780
 
1386
msgid "Goto next position in history."
 
1387
msgstr ""
 
1388
 
 
1389
#: ../../src/ed_menu.py:720
 
1390
msgid "Goto previous match"
 
1391
msgstr ""
 
1392
 
 
1393
#: ../../src/ed_menu.py:782
 
1394
msgid "Goto previous position in history."
 
1395
msgstr ""
 
1396
 
 
1397
#: ../../src/ed_menu.py:722
 
1398
msgid "Goto the next match"
 
1399
msgstr ""
 
1400
 
 
1401
#: ../../src/prefdlg.py:979
 
1402
msgid "Guide Column"
 
1403
msgstr ""
 
1404
 
 
1405
#: ../../src/ed_menu.py:869
 
1406
msgid "Highlight Brackets/Braces"
 
1407
msgstr ""
 
1408
 
 
1409
#: ../../src/ed_menu.py:745 ../../src/prefdlg.py:984
 
1410
msgid "Highlight Caret Line"
 
1411
msgstr ""
 
1412
 
 
1413
#: ../../src/ed_menu.py:746
 
1414
msgid "Highlight the background of the current line"
 
1415
msgstr ""
 
1416
 
 
1417
#: ../../src/ed_main.py:1564
 
1418
msgid "Homepage"
 
1419
msgstr ""
 
1420
 
 
1421
#: ../../src/prefdlg.py:1222
 
1422
msgid "Icon Theme"
 
1423
msgstr ""
 
1424
 
 
1425
#: ../../src/prefdlg.py:1267
 
1426
msgid "Icons"
 
1427
msgstr ""
 
1428
 
 
1429
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1430
msgid "Identities"
 
1431
msgstr ""
 
1432
 
 
1433
#: ../../src/ed_editv.py:511
 
1434
msgid "Ignore"
 
1435
msgstr ""
 
1436
 
 
1437
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:59
 
1438
msgid "Imports"
 
1439
msgstr ""
 
1440
 
 
1441
#: ../../src/ed_menu.py:811
 
1442
msgid "Indent Lines"
 
1443
msgstr ""
 
1444
 
 
1445
#: ../../src/prefdlg.py:770
 
1446
msgid "Indent Width"
 
1447
msgstr ""
 
1448
 
 
1449
#: ../../src/ed_menu.py:812
 
1450
msgid "Indent the selected lines"
 
1451
msgstr ""
 
1452
 
 
1453
#: ../../src/ed_menu.py:748 ../../src/prefdlg.py:987
 
1454
msgid "Indentation Guides"
 
1455
msgstr ""
 
1456
 
 
1457
#: ../../src/eclib/infodlg.py:58
 
1458
msgid "Info"
 
1459
msgstr ""
 
1460
 
 
1461
#: ../../plugins/Launch/launch/cfgdlg.py:441
 
1462
msgid "Info Text"
 
1463
msgstr ""
 
1464
 
 
1465
#: ../../src/prefdlg.py:1024
 
1466
msgid "Input Helpers"
 
1467
msgstr ""
 
1468
 
 
1469
#: ../../src/ed_menu.py:822
 
1470
msgid "Insert spaces instead of tab characters with tab key"
 
1471
msgstr ""
 
1472
 
 
1473
#: ../../src/plugdlg.py:122 ../../src/plugdlg.py:750
 
1474
msgid "Install"
 
1475
msgstr ""
 
1476
 
 
1477
#: ../../src/plugdlg.py:757
 
1478
msgid ""
 
1479
"Install the plugins for all users\n"
 
1480
" **requires administrative privileges**"
 
1481
msgstr ""
 
1482
 
 
1483
#: ../../src/plugdlg.py:754
 
1484
msgid "Install the plugins only for the current user"
 
1485
msgstr ""
 
1486
 
 
1487
#: ../../src/plugdlg.py:829
 
1488
msgid "Installation Error"
 
1489
msgstr ""
 
1490
 
 
1491
#: ../../src/prefdlg.py:1552
 
1492
msgid "Installed Version"
 
1493
msgstr ""
 
1494
 
 
1495
#: ../../src/ed_cmdbar.py:817
 
1496
msgid "Invalid Path"
 
1497
msgstr ""
 
1498
 
 
1499
#: ../../src/ed_search.py:412
 
1500
#, python-format
 
1501
msgid "Invalid expression \"%s\""
 
1502
msgstr ""
 
1503
 
 
1504
#: ../../src/ed_pages.py:969
 
1505
#, python-format
 
1506
msgid "Invalid file: %s"
 
1507
msgstr ""
 
1508
 
 
1509
#: ../../src/prefdlg.py:2217
 
1510
msgid "Inverse"
 
1511
msgstr ""
 
1512
 
 
1513
#: ../../src/ed_menu.py:689
 
1514
msgid "Join Lines"
 
1515
msgstr ""
 
1516
 
 
1517
#: ../../src/ed_menu.py:690
 
1518
msgid "Join the Selected Lines"
 
1519
msgstr ""
 
1520
 
 
1521
#: ../../plugins/filebrowser/filebrowser/browser.py:94
 
1522
msgid "Jump to Saved Path"
 
1523
msgstr ""
 
1524
 
 
1525
#: ../../src/prefdlg.py:1790
 
1526
msgid "Key"
 
1527
msgstr ""
 
1528
 
 
1529
#: ../../src/prefdlg.py:1759
 
1530
msgid "Key Profile"
 
1531
msgstr ""
 
1532
 
 
1533
#: ../../src/prefdlg.py:1670
 
1534
msgid "Keybindings"
 
1535
msgstr ""
 
1536
 
 
1537
#: ../../src/eclib/infodlg.py:182
 
1538
msgid "Kind"
 
1539
msgstr ""
 
1540
 
 
1541
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1542
msgid "Labels"
 
1543
msgstr ""
 
1544
 
 
1545
#: ../../src/prefdlg.py:419
 
1546
msgid "Language"
 
1547
msgstr ""
 
1548
 
 
1549
#: ../../src/prefdlg.py:1558
 
1550
msgid "Latest Version"
 
1551
msgstr ""
 
1552
 
 
1553
#: ../../plugins/Launch/launch/__init__.py:142
 
1554
msgid "Launch"
 
1555
msgstr ""
 
1556
 
 
1557
#: ../../plugins/Launch/launch/cfgdlg.py:99
 
1558
msgid "Launch Configuration"
 
1559
msgstr ""
 
1560
 
 
1561
#: ../../src/prefdlg.py:1272
 
1562
msgid "Layout"
 
1563
msgstr ""
 
1564
 
 
1565
#: ../../src/prefdlg.py:2101
 
1566
msgid "Lexer"
 
1567
msgstr ""
 
1568
 
 
1569
#: ../../src/ed_menu.py:544
 
1570
msgid "Lexers"
 
1571
msgstr ""
 
1572
 
 
1573
#: ../../src/prefdlg.py:678
 
1574
msgid "Library Error"
 
1575
msgstr ""
 
1576
 
 
1577
#: ../../src/ed_main.py:1566
 
1578
msgid "License: wxWindows (see COPYING.txt for full license)"
 
1579
msgstr ""
 
1580
 
 
1581
#: ../../plugins/Launch/launch/cfgdlg.py:402
 
1582
msgid "Line Buffering:"
 
1583
msgstr ""
 
1584
 
 
1585
#: ../../src/ed_menu.py:698
 
1586
msgid "Line Edit"
 
1587
msgstr ""
 
1588
 
 
1589
#: ../../src/ed_bookmark.py:275
 
1590
#: ../../plugins/codebrowser/codebrowser/cbconfig.py:44
 
1591
msgid "Line Number"
 
1592
msgstr ""
 
1593
 
 
1594
#: ../../src/ed_stc.py:764
 
1595
#, python-format
 
1596
msgid "Line: %(lnum)d  Column: %(cnum)d"
 
1597
msgstr ""
 
1598
 
 
1599
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:84
 
1600
msgid ""
 
1601
"List of files patterns to exclude from view\n"
 
1602
"The use of wildcards (*) are permitted."
 
1603
msgstr ""
 
1604
 
 
1605
#: ../../src/prefdlg.py:403
 
1606
msgid "Load Last Session"
 
1607
msgstr ""
 
1608
 
 
1609
#: ../../src/ed_menu.py:622
 
1610
msgid "Load Profile"
 
1611
msgstr ""
 
1612
 
 
1613
#: ../../src/ed_main.py:800 ../../src/ed_menu.py:631
 
1614
msgid "Load Session"
 
1615
msgstr ""
 
1616
 
 
1617
#: ../../src/ed_main.py:733 ../../src/ed_menu.py:623
 
1618
msgid "Load a Custom Profile"
 
1619
msgstr ""
 
1620
 
 
1621
#: ../../src/ed_menu.py:632
 
1622
msgid "Load a saved session."
 
1623
msgstr ""
 
1624
 
 
1625
#: ../../src/ed_menu.py:625
 
1626
msgid "Load and save custom Profiles"
 
1627
msgstr ""
 
1628
 
 
1629
#: ../../src/ed_menu.py:634
 
1630
msgid "Load and save custom sessions."
 
1631
msgstr ""
 
1632
 
 
1633
#: ../../src/prefdlg.py:405
 
1634
msgid "Load files from last session on startup"
 
1635
msgstr ""
 
1636
 
 
1637
#: ../../src/ed_main.py:739
 
1638
#, python-format
 
1639
msgid "Loaded Profile: %s"
 
1640
msgstr ""
 
1641
 
 
1642
#: ../../src/ed_main.py:827
 
1643
#, python-format
 
1644
msgid "Loaded Session: %s"
 
1645
msgstr ""
 
1646
 
 
1647
#: ../../src/prefdlg.py:440
 
1648
msgid "Locale Settings"
 
1649
msgstr ""
 
1650
 
 
1651
#: ../../plugins/Launch/launch/launch.py:162
 
1652
msgid "Lock File"
 
1653
msgstr ""
 
1654
 
 
1655
#: ../../src/eclib/finddlg.py:813
 
1656
msgid "Look in"
 
1657
msgstr ""
 
1658
 
 
1659
#: ../../src/ed_menu.py:818
 
1660
msgid "Lowercase"
 
1661
msgstr ""
 
1662
 
 
1663
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
 
1664
msgid "Macro Definitions"
 
1665
msgstr ""
 
1666
 
 
1667
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:53
 
1668
msgid "Macros"
 
1669
msgstr ""
 
1670
 
 
1671
#: ../../src/prefdlg.py:1262
 
1672
msgid "Main display font for various UI components"
 
1673
msgstr ""
 
1674
 
 
1675
#: ../../src/ed_menu.py:894
 
1676
msgid "Manage, Download, and Install plugins"
 
1677
msgstr ""
 
1678
 
 
1679
#: ../../src/ed_menu.py:546
 
1680
msgid "Manually Set a Lexer/Syntax"
 
1681
msgstr ""
 
1682
 
 
1683
#: ../../src/ed_cmdbar.py:315
 
1684
msgid "Match Case"
 
1685
msgstr ""
 
1686
 
 
1687
#: ../../src/eclib/finddlg.py:834
 
1688
msgid "Match case"
 
1689
msgstr ""
 
1690
 
 
1691
#: ../../src/ed_main.py:1427 ../../src/ed_menu.py:771
 
1692
msgid "Maximize Editor"
 
1693
msgstr ""
 
1694
 
 
1695
#: ../../src/prefdlg.py:1772
 
1696
msgid "Menu"
 
1697
msgstr ""
 
1698
 
 
1699
#: ../../plugins/Launch/launch/cfgdlg.py:133
 
1700
msgid "Misc"
 
1701
msgstr ""
 
1702
 
 
1703
#: ../../src/ed_pages.py:393
 
1704
msgid "Missing session files"
 
1705
msgstr ""
 
1706
 
 
1707
#: ../../src/ed_stc.py:1212
 
1708
msgid ""
 
1709
"Mixed EOL characters detected.\n"
 
1710
"\n"
 
1711
"Would you like to format them to all be the same?"
 
1712
msgstr ""
 
1713
 
 
1714
#: ../../src/eclib/infodlg.py:163 ../../src/eclib/infodlg.py:195
 
1715
msgid "Modified"
 
1716
msgstr ""
 
1717
 
 
1718
#: ../../src/prefdlg.py:1782
 
1719
msgid "Modifier 1"
 
1720
msgstr ""
 
1721
 
 
1722
#: ../../src/prefdlg.py:1786
 
1723
msgid "Modifier 2"
 
1724
msgstr ""
 
1725
 
 
1726
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:58
 
1727
msgid "Modules"
 
1728
msgstr ""
 
1729
 
 
1730
#: ../../src/ed_menu.py:696
 
1731
msgid "Move Current Line Down"
 
1732
msgstr ""
 
1733
 
 
1734
#: ../../src/ed_menu.py:693
 
1735
msgid "Move Current Line Up"
 
1736
msgstr ""
 
1737
 
 
1738
#: ../../src/ed_editv.py:278
 
1739
msgid "Move Tab to New Window"
 
1740
msgstr ""
 
1741
 
 
1742
#: ../../src/ed_menu.py:777
 
1743
msgid "Move caret matching brace"
 
1744
msgstr ""
 
1745
 
 
1746
#: ../../src/ed_menu.py:697
 
1747
msgid "Move the current line down"
 
1748
msgstr ""
 
1749
 
 
1750
#: ../../src/ed_menu.py:694
 
1751
msgid "Move the current line up"
 
1752
msgstr ""
 
1753
 
 
1754
#: ../../plugins/filebrowser/filebrowser/browser.py:65
 
1755
msgid "Move to Recycle Bin"
 
1756
msgstr ""
 
1757
 
 
1758
#: ../../plugins/filebrowser/filebrowser/browser.py:67
 
1759
msgid "Move to Trash"
 
1760
msgstr ""
 
1761
 
 
1762
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
 
1763
msgid "Namespaces"
 
1764
msgstr ""
 
1765
 
 
1766
#: ../../src/prefdlg.py:191
 
1767
msgid "Network"
 
1768
msgstr ""
 
1769
 
 
1770
#: ../../src/ed_toolbar.py:77 ../../src/prefdlg.py:1762
 
1771
#: ../../src/style_editor.py:193
 
1772
msgid "New"
 
1773
msgstr ""
 
1774
 
 
1775
#: ../../src/ed_menu.py:592
 
1776
msgid "New &Window"
 
1777
msgstr ""
 
1778
 
 
1779
#: ../../plugins/filebrowser/filebrowser/browser.py:653
 
1780
#: ../../plugins/filebrowser/filebrowser/browser.py:826
 
1781
msgid "New File"
 
1782
msgstr ""
 
1783
 
 
1784
#: ../../plugins/filebrowser/filebrowser/browser.py:652
 
1785
#: ../../plugins/filebrowser/filebrowser/browser.py:817
 
1786
msgid "New Folder"
 
1787
msgstr ""
 
1788
 
 
1789
#: ../../src/ed_menu.py:675
 
1790
msgid "New Line After"
 
1791
msgstr ""
 
1792
 
 
1793
#: ../../src/ed_menu.py:677
 
1794
msgid "New Line Before"
 
1795
msgstr ""
 
1796
 
 
1797
#: ../../src/prefdlg.py:1961
 
1798
msgid "New Profile"
 
1799
msgstr ""
 
1800
 
 
1801
#: ../../src/style_editor.py:365
 
1802
msgid "New Style Sheet"
 
1803
msgstr ""
 
1804
 
 
1805
#: ../../src/ed_editv.py:277
 
1806
msgid "New Tab"
 
1807
msgstr ""
 
1808
 
 
1809
#: ../../src/ed_cmdbar.py:295
 
1810
msgid "Next"
 
1811
msgstr ""
 
1812
 
 
1813
#: ../../src/ed_menu.py:784
 
1814
msgid "Next Bookmark"
 
1815
msgstr ""
 
1816
 
 
1817
#: ../../src/ed_menu.py:779
 
1818
msgid "Next Position"
 
1819
msgstr ""
 
1820
 
 
1821
#: ../../src/plugdlg.py:365 ../../src/plugin.py:708
 
1822
msgid "No Description Available"
 
1823
msgstr ""
 
1824
 
 
1825
#: ../../src/ed_editv.py:495
 
1826
msgid "No Suggestions"
 
1827
msgstr ""
 
1828
 
 
1829
#: ../../src/ed_pages.py:979
 
1830
msgid "No Valid Files to Open"
 
1831
msgstr ""
 
1832
 
 
1833
#: ../../src/prefdlg.py:1855
 
1834
msgid "None"
 
1835
msgstr ""
 
1836
 
 
1837
#: ../../src/prefdlg.py:2218
 
1838
msgid "Normal"
 
1839
msgstr ""
 
1840
 
 
1841
#: ../../src/ed_search.py:680
 
1842
msgid "Not implemented"
 
1843
msgstr ""
 
1844
 
 
1845
#: ../../src/style_editor.py:84
 
1846
msgid "Ok"
 
1847
msgstr ""
 
1848
 
 
1849
#: ../../src/ed_glob.py:396 ../../src/ed_mdlg.py:137
 
1850
msgid "Old Machintosh (\\r)"
 
1851
msgstr ""
 
1852
 
 
1853
#: ../../src/ed_menu.py:841 ../../src/ed_menu.py:843 ../../src/prefdlg.py:791
 
1854
msgid "Old Macintosh (\\r)"
 
1855
msgstr ""
 
1856
 
 
1857
#: ../../src/ed_menu.py:921
 
1858
msgid "Online Documentation..."
 
1859
msgstr ""
 
1860
 
 
1861
#: ../../src/ed_menu.py:922
 
1862
msgid "Online project documentation and help guides"
 
1863
msgstr ""
 
1864
 
 
1865
#: ../../src/ed_menu.py:595 ../../src/ed_shelf.py:179
 
1866
#: ../../src/ed_toolbar.py:78
 
1867
msgid "Open"
 
1868
msgstr ""
 
1869
 
 
1870
#: ../../src/ed_menu.py:597
 
1871
msgid "Open &Recent"
 
1872
msgstr ""
 
1873
 
 
1874
#: ../../plugins/PyShell/PyShell/__init__.py:67
 
1875
msgid "Open A Python Shell"
 
1876
msgstr ""
 
1877
 
 
1878
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:93
 
1879
msgid "Open Code Browser Sidepanel"
 
1880
msgstr ""
 
1881
 
 
1882
#: ../../src/ed_pages.py:990
 
1883
msgid "Open Directory?"
 
1884
msgstr ""
 
1885
 
 
1886
#: ../../src/eclib/finddlg.py:732
 
1887
msgid "Open Documents"
 
1888
msgstr ""
 
1889
 
 
1890
#: ../../src/ed_pages.py:214
 
1891
msgid "Open File"
 
1892
msgstr ""
 
1893
 
 
1894
#: ../../plugins/filebrowser/filebrowser/browser.py:212
 
1895
msgid "Open File Browser Sidepanel"
 
1896
msgstr ""
 
1897
 
 
1898
#: ../../src/prefdlg.py:507
 
1899
msgid "Open files in new windows by default"
 
1900
msgstr ""
 
1901
 
 
1902
#: ../../src/ed_menu.py:718
 
1903
msgid "Open the Quick Find Bar"
 
1904
msgstr ""
 
1905
 
 
1906
#: ../../plugins/filebrowser/filebrowser/browser.py:641
 
1907
msgid "Open with "
 
1908
msgstr ""
 
1909
 
 
1910
#: ../../src/ed_pages.py:1010
 
1911
#, python-format
 
1912
msgid "Opened file: %s"
 
1913
msgstr ""
 
1914
 
 
1915
#: ../../src/ed_main.py:1496
 
1916
#, python-format
 
1917
msgid "Opening %s"
 
1918
msgstr ""
 
1919
 
 
1920
#: ../../plugins/Launch/launch/cfgdlg.py:132
 
1921
msgid "Output"
 
1922
msgstr ""
 
1923
 
 
1924
#: ../../src/ed_menu.py:664
 
1925
msgid "P&aste After"
 
1926
msgstr ""
 
1927
 
 
1928
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:54
 
1929
msgid "Packages"
 
1930
msgstr ""
 
1931
 
 
1932
#: ../../src/ed_menu.py:637
 
1933
msgid "Page Set&up"
 
1934
msgstr ""
 
1935
 
 
1936
#: ../../src/ed_menu.py:769
 
1937
msgid "Pane Navigator"
 
1938
msgstr ""
 
1939
 
 
1940
#: ../../src/prefdlg.py:1452
 
1941
msgid "Password"
 
1942
msgstr ""
 
1943
 
 
1944
#: ../../src/ed_editv.py:467 ../../src/ed_toolbar.py:90
 
1945
msgid "Paste"
 
1946
msgstr ""
 
1947
 
 
1948
#: ../../src/ed_menu.py:663 ../../src/ed_toolbar.py:91
 
1949
msgid "Paste Text from Clipboard to File"
 
1950
msgstr ""
 
1951
 
 
1952
#: ../../src/ed_menu.py:665
 
1953
msgid "Paste Text from Clipboard to File after the cursor"
 
1954
msgstr ""
 
1955
 
 
1956
#: ../../src/prefdlg.py:608 ../../src/prefdlg.py:609
 
1957
msgid "Path to libenchant"
 
1958
msgstr ""
 
1959
 
 
1960
#: ../../plugins/filebrowser/filebrowser/browser.py:105
 
1961
msgid "Pathmarks"
 
1962
msgstr ""
 
1963
 
 
1964
#: ../../src/eclib/infodlg.py:197
 
1965
msgid "Permissions"
 
1966
msgstr ""
 
1967
 
 
1968
#: ../../src/perspective.py:276
 
1969
msgid "Perspective Name"
 
1970
msgstr ""
 
1971
 
 
1972
#: ../../src/perspective.py:293
 
1973
msgid "Perspective to Delete"
 
1974
msgstr ""
 
1975
 
 
1976
#: ../../src/ed_main.py:123
 
1977
msgid "Perspectives"
 
1978
msgstr ""
 
1979
 
 
1980
#: ../../plugins/Launch/launch/cfgdlg.py:427
 
1981
msgid "Plain Text"
 
1982
msgstr ""
 
1983
 
 
1984
#: ../../src/ed_main.py:1565
 
1985
msgid "Platform Info"
 
1986
msgstr ""
 
1987
 
 
1988
#: ../../src/Editra.py:955
 
1989
msgid "Please check the preferences dialog to check your preferences"
 
1990
msgstr ""
 
1991
 
 
1992
#: ../../src/Editra.py:1165
 
1993
msgid "Please check the preferences dialog to verify your preferences"
 
1994
msgstr ""
 
1995
 
 
1996
#: ../../src/ed_main.py:1081 ../../src/ed_menu.py:893
 
1997
msgid "Plugin Manager"
 
1998
msgstr ""
 
1999
 
 
2000
#: ../../src/prefdlg.py:1437
 
2001
msgid "Port Number"
 
2002
msgstr ""
 
2003
 
 
2004
#: ../../src/ed_menu.py:726
 
2005
msgid "Pr&eferences"
 
2006
msgstr ""
 
2007
 
 
2008
#: ../../src/prefdlg.py:110
 
2009
msgid "Preferences - Editra"
 
2010
msgstr ""
 
2011
 
 
2012
#: ../../src/prefdlg.py:503
 
2013
msgid "Preferred Encoding"
 
2014
msgstr ""
 
2015
 
 
2016
#: ../../src/style_editor.py:852
 
2017
msgid "Preview File"
 
2018
msgstr ""
 
2019
 
 
2020
#: ../../src/ed_menu.py:640
 
2021
msgid "Preview Printout"
 
2022
msgstr ""
 
2023
 
 
2024
#: ../../src/ed_cmdbar.py:303
 
2025
msgid "Previous"
 
2026
msgstr ""
 
2027
 
 
2028
#: ../../src/ed_menu.py:786
 
2029
msgid "Previous Bookmark"
 
2030
msgstr ""
 
2031
 
 
2032
#: ../../src/ed_menu.py:781
 
2033
msgid "Previous Position"
 
2034
msgstr ""
 
2035
 
 
2036
#: ../../src/prefdlg.py:858
 
2037
msgid "Primary Font"
 
2038
msgstr ""
 
2039
 
 
2040
#: ../../src/ed_toolbar.py:80
 
2041
msgid "Print"
 
2042
msgstr ""
 
2043
 
 
2044
#: ../../src/ed_menu.py:641 ../../src/ed_toolbar.py:81
 
2045
msgid "Print Current File"
 
2046
msgstr ""
 
2047
 
 
2048
#: ../../src/ed_print.py:121
 
2049
msgid "Print Error"
 
2050
msgstr ""
 
2051
 
 
2052
#: ../../src/ed_menu.py:639
 
2053
msgid "Print Pre&view"
 
2054
msgstr ""
 
2055
 
 
2056
#: ../../src/ed_print.py:113
 
2057
msgid "Print Preview"
 
2058
msgstr ""
 
2059
 
 
2060
#: ../../src/ed_main.py:850
 
2061
msgid "Print failure"
 
2062
msgstr ""
 
2063
 
 
2064
#: ../../src/ed_print.py:139
 
2065
msgid "Printer Error"
 
2066
msgstr ""
 
2067
 
 
2068
#: ../../src/prefdlg.py:393
 
2069
msgid "Printer Mode"
 
2070
msgstr ""
 
2071
 
 
2072
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:55
 
2073
msgid "Procedure Definitions"
 
2074
msgstr ""
 
2075
 
 
2076
#: ../../src/ed_main.py:715 ../../src/ed_main.py:735 ../../src/ed_menu.py:624
 
2077
msgid "Profile"
 
2078
msgstr ""
 
2079
 
 
2080
#: ../../src/ed_main.py:720
 
2081
#, python-format
 
2082
msgid "Profile Saved as: %s"
 
2083
msgstr ""
 
2084
 
 
2085
#: ../../src/Editra.py:957 ../../src/Editra.py:1167
 
2086
msgid "Profile Updated"
 
2087
msgstr ""
 
2088
 
 
2089
#: ../../plugins/Launch/launch/launch.py:156
 
2090
msgid "Program Executable Command"
 
2091
msgstr ""
 
2092
 
 
2093
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:55
 
2094
msgid "Programs"
 
2095
msgstr ""
 
2096
 
 
2097
#: ../../src/ed_menu.py:918
 
2098
msgid "Project Homepage..."
 
2099
msgstr ""
 
2100
 
 
2101
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:55
 
2102
msgid "Protocols"
 
2103
msgstr ""
 
2104
 
 
2105
#: ../../src/prefdlg.py:1423
 
2106
msgid "Proxy Settings"
 
2107
msgstr ""
 
2108
 
 
2109
#: ../../src/prefdlg.py:1433
 
2110
msgid "Proxy URL"
 
2111
msgstr ""
 
2112
 
 
2113
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:58
 
2114
msgid "Public Functions"
 
2115
msgstr ""
 
2116
 
 
2117
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:59
 
2118
msgid "Public Subroutines"
 
2119
msgstr ""
 
2120
 
 
2121
#: ../../src/ed_shelf.py:100
 
2122
msgid "Put an item on the Shelf"
 
2123
msgstr ""
 
2124
 
 
2125
#: ../../src/updater.py:690
 
2126
#, python-format
 
2127
msgid "Rate: %.2f Kb/s"
 
2128
msgstr ""
 
2129
 
 
2130
#: ../../plugins/Launch/launch/__init__.py:100
 
2131
msgid "Re-run the last run program"
 
2132
msgstr ""
 
2133
 
 
2134
#: ../../src/ed_stc.py:1899
 
2135
msgid "Read Only"
 
2136
msgstr ""
 
2137
 
 
2138
#: ../../src/ed_search.py:879
 
2139
msgid "Recent Searches"
 
2140
msgstr ""
 
2141
 
 
2142
#: ../../src/ed_menu.py:598
 
2143
msgid "Recently Opened Files"
 
2144
msgstr ""
 
2145
 
 
2146
#: ../../src/ed_stc.py:1506
 
2147
msgid "Recording Finished"
 
2148
msgstr ""
 
2149
 
 
2150
#: ../../src/ed_stc.py:1493
 
2151
msgid "Recording Macro"
 
2152
msgstr ""
 
2153
 
 
2154
#: ../../src/ed_editv.py:463 ../../src/ed_menu.py:656
 
2155
#: ../../src/ed_toolbar.py:84
 
2156
msgid "Redo"
 
2157
msgstr ""
 
2158
 
 
2159
#: ../../src/ed_menu.py:656 ../../src/ed_toolbar.py:84
 
2160
msgid "Redo Last Undo"
 
2161
msgstr ""
 
2162
 
 
2163
#: ../../src/ed_search.py:413
 
2164
msgid "Regex Compile Error"
 
2165
msgstr ""
 
2166
 
 
2167
#: ../../src/ed_cmdbar.py:326
 
2168
msgid "Regular Expression"
 
2169
msgstr ""
 
2170
 
 
2171
#: ../../src/eclib/finddlg.py:836
 
2172
msgid "Regular expression"
 
2173
msgstr ""
 
2174
 
 
2175
#: ../../src/ed_editv.py:581
 
2176
msgid "Reload File?"
 
2177
msgstr ""
 
2178
 
 
2179
#: ../../src/ed_menu.py:615
 
2180
msgid "Reload the file with a specified encoding"
 
2181
msgstr ""
 
2182
 
 
2183
#: ../../src/ed_main.py:1219
 
2184
msgid "Reload with Encoding"
 
2185
msgstr ""
 
2186
 
 
2187
#: ../../src/ed_menu.py:614
 
2188
msgid "Reload with Encoding..."
 
2189
msgstr ""
 
2190
 
 
2191
#: ../../src/prefdlg.py:510
 
2192
msgid "Remember File Position"
 
2193
msgstr ""
 
2194
 
 
2195
#: ../../src/prefdlg.py:1252
 
2196
msgid "Remember Window Position on Exit"
 
2197
msgstr ""
 
2198
 
 
2199
#: ../../src/prefdlg.py:1249
 
2200
msgid "Remember Window Size on Exit"
 
2201
msgstr ""
 
2202
 
 
2203
#: ../../src/style_editor.py:198
 
2204
msgid "Remove"
 
2205
msgstr ""
 
2206
 
 
2207
#: ../../src/ed_menu.py:703
 
2208
msgid "Remove All Bookmarks"
 
2209
msgstr ""
 
2210
 
 
2211
#: ../../plugins/filebrowser/filebrowser/browser.py:96
 
2212
msgid "Remove Saved Path"
 
2213
msgstr ""
 
2214
 
 
2215
#: ../../src/style_editor.py:209
 
2216
msgid "Remove Style"
 
2217
msgstr ""
 
2218
 
 
2219
#: ../../src/ed_menu.py:704
 
2220
msgid "Remove all bookmarks from the current document"
 
2221
msgstr ""
 
2222
 
 
2223
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:88
 
2224
msgid "Remove selected filter"
 
2225
msgstr ""
 
2226
 
 
2227
#: ../../plugins/Launch/launch/cfgdlg.py:206
 
2228
msgid "Remove selection from list"
 
2229
msgstr ""
 
2230
 
 
2231
#: ../../src/ed_menu.py:835
 
2232
msgid "Remove trailing whitespace"
 
2233
msgstr ""
 
2234
 
 
2235
#: ../../plugins/filebrowser/filebrowser/browser.py:650
 
2236
msgid "Rename"
 
2237
msgstr ""
 
2238
 
 
2239
#: ../../src/eclib/finddlg.py:654 ../../src/eclib/finddlg.py:864
 
2240
msgid "Replace"
 
2241
msgstr ""
 
2242
 
 
2243
#: ../../src/eclib/finddlg.py:867
 
2244
msgid "Replace All"
 
2245
msgstr ""
 
2246
 
 
2247
#: ../../src/ed_search.py:609
 
2248
msgid "Replace Error"
 
2249
msgstr ""
 
2250
 
 
2251
#: ../../src/eclib/finddlg.py:798
 
2252
msgid "Replace with"
 
2253
msgstr ""
 
2254
 
 
2255
#: ../../src/eclib/errdlg.py:322
 
2256
msgid "Report Error"
 
2257
msgstr ""
 
2258
 
 
2259
#: ../../src/ed_editv.py:561
 
2260
msgid "Resave File?"
 
2261
msgstr ""
 
2262
 
 
2263
#: ../../src/ed_main.py:1429
 
2264
msgid "Restore Editor"
 
2265
msgstr ""
 
2266
 
 
2267
#: ../../src/plugdlg.py:662
 
2268
msgid "Retrieving Plugin List"
 
2269
msgstr ""
 
2270
 
 
2271
#: ../../plugins/filebrowser/filebrowser/browser.py:642
 
2272
msgid "Reveal in "
 
2273
msgstr ""
 
2274
 
 
2275
#: ../../src/ed_menu.py:613
 
2276
msgid "Revert file to last save point"
 
2277
msgstr ""
 
2278
 
 
2279
#: ../../src/prefdlg.py:1152 ../../src/prefdlg.py:1818
 
2280
msgid "Revert to Default"
 
2281
msgstr ""
 
2282
 
 
2283
#: ../../src/ed_menu.py:612
 
2284
msgid "Revert to Saved"
 
2285
msgstr ""
 
2286
 
 
2287
#: ../../plugins/Launch/launch/__init__.py:93
 
2288
#: ../../plugins/Launch/launch/launch.py:179
 
2289
#: ../../plugins/Launch/launch/launch.py:543
 
2290
msgid "Run"
 
2291
msgstr ""
 
2292
 
 
2293
#: ../../plugins/Launch/launch/__init__.py:98
 
2294
msgid "Run last executed"
 
2295
msgstr ""
 
2296
 
 
2297
#: ../../plugins/Launch/launch/__init__.py:74
 
2298
msgid "Run script from current buffer"
 
2299
msgstr ""
 
2300
 
 
2301
#: ../../plugins/Launch/launch/__init__.py:95
 
2302
msgid "Run the file associated with the current buffer in Launch"
 
2303
msgstr ""
 
2304
 
 
2305
#: ../../src/ed_session.py:182 ../../src/ed_toolbar.py:79
 
2306
#: ../../src/style_editor.py:88
 
2307
msgid "Save"
 
2308
msgstr ""
 
2309
 
 
2310
#: ../../src/ed_editv.py:280
 
2311
#, python-format
 
2312
msgid "Save \"%s\""
 
2313
msgstr ""
 
2314
 
 
2315
#: ../../src/ed_menu.py:608
 
2316
msgid "Save &As"
 
2317
msgstr ""
 
2318
 
 
2319
#: ../../src/ed_menu.py:609
 
2320
msgid "Save All"
 
2321
msgstr ""
 
2322
 
 
2323
#: ../../src/ed_menu.py:608 ../../src/ed_session.py:184
 
2324
#: ../../src/eclib/filemgrdlg.py:121
 
2325
msgid "Save As"
 
2326
msgstr ""
 
2327
 
 
2328
#: ../../src/ed_editv.py:618
 
2329
msgid "Save Changes?"
 
2330
msgstr ""
 
2331
 
 
2332
#: ../../src/ed_menu.py:607 ../../src/ed_toolbar.py:79
 
2333
msgid "Save Current File"
 
2334
msgstr ""
 
2335
 
 
2336
#: ../../src/ed_menu.py:621
 
2337
msgid "Save Current Settings to a New Profile"
 
2338
msgstr ""
 
2339
 
 
2340
#: ../../src/perspective.py:82
 
2341
msgid "Save Current View"
 
2342
msgstr ""
 
2343
 
 
2344
#: ../../src/ed_mdlg.py:106
 
2345
msgid "Save Error"
 
2346
msgstr ""
 
2347
 
 
2348
#: ../../src/perspective.py:277
 
2349
msgid "Save Perspective"
 
2350
msgstr ""
 
2351
 
 
2352
#: ../../src/ed_menu.py:620
 
2353
msgid "Save Profile"
 
2354
msgstr ""
 
2355
 
 
2356
#: ../../plugins/filebrowser/filebrowser/browser.py:93
 
2357
msgid "Save Selected Paths"
 
2358
msgstr ""
 
2359
 
 
2360
#: ../../src/ed_main.py:768 ../../src/ed_menu.py:629
 
2361
msgid "Save Session"
 
2362
msgstr ""
 
2363
 
 
2364
#: ../../src/style_editor.py:147 ../../src/style_editor.py:408
 
2365
msgid "Save Styles"
 
2366
msgstr ""
 
2367
 
 
2368
#: ../../src/ed_menu.py:610
 
2369
msgid "Save all open pages"
 
2370
msgstr ""
 
2371
 
 
2372
#: ../../src/ed_menu.py:630
 
2373
msgid "Save the current session."
 
2374
msgstr ""
 
2375
 
 
2376
#: ../../src/perspective.py:83
 
2377
msgid "Save the current window layout"
 
2378
msgstr ""
 
2379
 
 
2380
#: ../../src/ed_main.py:698
 
2381
#, python-format
 
2382
msgid "Saved File As: %s"
 
2383
msgstr ""
 
2384
 
 
2385
#: ../../src/ed_main.py:626
 
2386
#, python-format
 
2387
msgid "Saved File: %s"
 
2388
msgstr ""
 
2389
 
 
2390
#: ../../src/ed_search.py:1383
 
2391
#, python-format
 
2392
msgid "Search Complete: %d matching lines where found."
 
2393
msgstr ""
 
2394
 
 
2395
#: ../../src/eclib/finddlg.py:837
 
2396
msgid "Search Recursively"
 
2397
msgstr ""
 
2398
 
 
2399
#: ../../src/ed_search.py:1357
 
2400
msgid "Search Started"
 
2401
msgstr ""
 
2402
 
 
2403
#: ../../src/ed_search.py:1376
 
2404
msgid "Search complete"
 
2405
msgstr ""
 
2406
 
 
2407
#: ../../src/ed_menu.py:724
 
2408
msgid "Search for the currently selected phrase"
 
2409
msgstr ""
 
2410
 
 
2411
#: ../../plugins/filebrowser/filebrowser/browser.py:647
 
2412
msgid "Search in directory"
 
2413
msgstr ""
 
2414
 
 
2415
#: ../../src/ed_search.py:470
 
2416
msgid "Search wrapped to bottom"
 
2417
msgstr ""
 
2418
 
 
2419
#: ../../src/ed_search.py:465
 
2420
msgid "Search wrapped to top"
 
2421
msgstr ""
 
2422
 
 
2423
#: ../../src/prefdlg.py:861
 
2424
msgid "Secondary Font"
 
2425
msgstr ""
 
2426
 
 
2427
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
 
2428
msgid "Sections"
 
2429
msgstr ""
 
2430
 
 
2431
#: ../../src/ed_menu.py:669
 
2432
msgid "Select &All"
 
2433
msgstr ""
 
2434
 
 
2435
#: ../../src/ed_editv.py:472
 
2436
msgid "Select All"
 
2437
msgstr ""
 
2438
 
 
2439
#: ../../src/ed_menu.py:670
 
2440
msgid "Select All Text in Document"
 
2441
msgstr ""
 
2442
 
 
2443
#: ../../src/ed_main.py:1218
 
2444
msgid "Select an encoding to reload the file with"
 
2445
msgstr ""
 
2446
 
 
2447
#: ../../src/plugdlg.py:492
 
2448
msgid "Select plugins to download"
 
2449
msgstr ""
 
2450
 
 
2451
#: ../../src/eclib/finddlg.py:731
 
2452
msgid "Selected Text"
 
2453
msgstr ""
 
2454
 
 
2455
#: ../../src/ed_menu.py:927
 
2456
msgid "Send bug reports and suggestions"
 
2457
msgstr ""
 
2458
 
 
2459
#: ../../src/ed_pages.py:369
 
2460
msgid "Session Load Error"
 
2461
msgstr ""
 
2462
 
 
2463
#: ../../src/ed_menu.py:891
 
2464
msgid "Session Manager"
 
2465
msgstr ""
 
2466
 
 
2467
#: ../../src/ed_main.py:768
 
2468
msgid "Session Name"
 
2469
msgstr ""
 
2470
 
 
2471
#: ../../src/ed_main.py:777
 
2472
#, python-format
 
2473
msgid "Session Saved as: %s"
 
2474
msgstr ""
 
2475
 
 
2476
#: ../../src/ed_pages.py:374
 
2477
msgid "Session file is empty."
 
2478
msgstr ""
 
2479
 
 
2480
#: ../../src/ed_main.py:799
 
2481
#, python-format
 
2482
msgid ""
 
2483
"Session to Load:\n"
 
2484
"Current Session: '%s'"
 
2485
msgstr ""
 
2486
 
 
2487
#: ../../src/ed_session.py:203
 
2488
msgid "Session:"
 
2489
msgstr ""
 
2490
 
 
2491
#: ../../src/ed_menu.py:633
 
2492
msgid "Sessions"
 
2493
msgstr ""
 
2494
 
 
2495
#: ../../src/eclib/ecpickers.py:82
 
2496
msgid "Set Font"
 
2497
msgstr ""
 
2498
 
 
2499
#: ../../src/style_editor.py:855
 
2500
msgid "Set the preview file type"
 
2501
msgstr ""
 
2502
 
 
2503
#: ../../src/prefdlg.py:834
 
2504
msgid "Sets a secondary font used for special regions when syntax highlighting is in use"
 
2505
msgstr ""
 
2506
 
 
2507
#: ../../src/prefdlg.py:829
 
2508
msgid "Sets the main/default font of the document"
 
2509
msgstr ""
 
2510
 
 
2511
#: ../../plugins/Launch/launch/launch.py:150
 
2512
msgid "Settings"
 
2513
msgstr ""
 
2514
 
 
2515
#: ../../src/ed_shelf.py:79 ../../src/ed_shelf.py:99
 
2516
msgid "Shelf"
 
2517
msgstr ""
 
2518
 
 
2519
#: ../../src/ed_menu.py:711
 
2520
msgid "Show Calltip"
 
2521
msgstr ""
 
2522
 
 
2523
#: ../../src/ed_menu.py:752 ../../src/ed_menu.py:753 ../../src/prefdlg.py:803
 
2524
msgid "Show EOL Markers"
 
2525
msgstr ""
 
2526
 
 
2527
#: ../../src/ed_menu.py:750
 
2528
msgid "Show Edge Guide"
 
2529
msgstr ""
 
2530
 
 
2531
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:69
 
2532
msgid "Show Hidden Files"
 
2533
msgstr ""
 
2534
 
 
2535
#: ../../src/prefdlg.py:1233
 
2536
msgid "Show Icons on Tabs"
 
2537
msgstr ""
 
2538
 
 
2539
#: ../../src/ed_menu.py:749
 
2540
msgid "Show Indentation Guides"
 
2541
msgstr ""
 
2542
 
 
2543
#: ../../src/ed_menu.py:755
 
2544
msgid "Show Line Number Margin"
 
2545
msgstr ""
 
2546
 
 
2547
#: ../../src/ed_menu.py:754 ../../src/prefdlg.py:805
 
2548
msgid "Show Line Numbers"
 
2549
msgstr ""
 
2550
 
 
2551
#: ../../src/ed_shelf.py:485
 
2552
msgid "Show Shelf"
 
2553
msgstr ""
 
2554
 
 
2555
#: ../../src/prefdlg.py:407
 
2556
msgid "Show Splash Screen"
 
2557
msgstr ""
 
2558
 
 
2559
#: ../../src/ed_menu.py:790 ../../src/prefdlg.py:1254
 
2560
msgid "Show Status Bar"
 
2561
msgstr ""
 
2562
 
 
2563
#: ../../src/ed_menu.py:792 ../../src/prefdlg.py:1256
 
2564
msgid "Show Toolbar"
 
2565
msgstr ""
 
2566
 
 
2567
#: ../../src/ed_menu.py:756 ../../src/prefdlg.py:807
 
2568
msgid "Show Whitespace"
 
2569
msgstr ""
 
2570
 
 
2571
#: ../../src/ed_menu.py:757
 
2572
msgid "Show Whitespace Markers"
 
2573
msgstr ""
 
2574
 
 
2575
#: ../../src/ed_menu.py:712
 
2576
msgid "Show a calltip for the current word."
 
2577
msgstr ""
 
2578
 
 
2579
#: ../../src/ed_menu.py:710
 
2580
msgid "Show autocompletion hints."
 
2581
msgstr ""
 
2582
 
 
2583
#: ../../src/ed_log.py:134
 
2584
msgid "Show output from"
 
2585
msgstr ""
 
2586
 
 
2587
#: ../../src/ed_shelf.py:487
 
2588
msgid "Show the Shelf"
 
2589
msgstr ""
 
2590
 
 
2591
#: ../../src/ed_menu.py:751
 
2592
msgid "Show the edge column guide"
 
2593
msgstr ""
 
2594
 
 
2595
#: ../../src/ed_menu.py:892
 
2596
msgid "Show the session manager bar"
 
2597
msgstr ""
 
2598
 
 
2599
#: ../../src/style_editor.py:802 ../../src/eclib/infodlg.py:189
 
2600
msgid "Size"
 
2601
msgstr ""
 
2602
 
 
2603
#: ../../src/ed_pages.py:394
 
2604
msgid "Some files in saved session could not be found on disk:\n"
 
2605
msgstr ""
 
2606
 
 
2607
#: ../../src/style_editor.py:141
 
2608
msgid "Some styles have been changed would you like to save before exiting?"
 
2609
msgstr ""
 
2610
 
 
2611
#: ../../src/ed_search.py:679
 
2612
msgid "Sorry will be ready for future version"
 
2613
msgstr ""
 
2614
 
 
2615
#: ../../plugins/codebrowser/codebrowser/cbconfig.py:40
 
2616
msgid "Sorting"
 
2617
msgstr ""
 
2618
 
 
2619
#: ../../src/ed_menu.py:830
 
2620
msgid "Spaces to Tabs"
 
2621
msgstr ""
 
2622
 
 
2623
#: ../../src/prefdlg.py:580
 
2624
msgid "Spell Checking"
 
2625
msgstr ""
 
2626
 
 
2627
#: ../../src/ed_editv.py:518
 
2628
msgid "Spelling"
 
2629
msgstr ""
 
2630
 
 
2631
#: ../../src/ed_toolbar.py:77
 
2632
msgid "Start a New File"
 
2633
msgstr ""
 
2634
 
 
2635
#: ../../src/ed_menu.py:591
 
2636
msgid "Start a new file in a new tab"
 
2637
msgstr ""
 
2638
 
 
2639
#: ../../src/ed_menu.py:593
 
2640
msgid "Start a new file in a new window"
 
2641
msgstr ""
 
2642
 
 
2643
#: ../../src/prefdlg.py:1006
 
2644
msgid "Start in Normal Mode"
 
2645
msgstr ""
 
2646
 
 
2647
#: ../../src/prefdlg.py:305
 
2648
msgid "Startup"
 
2649
msgstr ""
 
2650
 
 
2651
#: ../../src/prefdlg.py:429
 
2652
msgid "Startup Settings"
 
2653
msgstr ""
 
2654
 
 
2655
#: ../../src/prefdlg.py:1561 ../../src/updater.py:291
 
2656
msgid "Status Unknown"
 
2657
msgstr ""
 
2658
 
 
2659
#: ../../src/ed_menu.py:895 ../../src/style_editor.py:70
 
2660
msgid "Style Editor"
 
2661
msgstr ""
 
2662
 
 
2663
#: ../../src/style_editor.py:723
 
2664
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
 
2665
msgid "Style Tags"
 
2666
msgstr ""
 
2667
 
 
2668
#: ../../src/style_editor.py:202
 
2669
msgid "Style Theme"
 
2670
msgstr ""
 
2671
 
 
2672
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:57
 
2673
msgid "Subroutine Declarations"
 
2674
msgstr ""
 
2675
 
 
2676
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:56
 
2677
msgid "Subroutines"
 
2678
msgstr ""
 
2679
 
 
2680
#: ../../src/plugdlg.py:818
 
2681
msgid "Successfully Installed Plugins"
 
2682
msgstr ""
 
2683
 
 
2684
#: ../../src/prefdlg.py:565
 
2685
msgid "Suffix for backup file names"
 
2686
msgstr ""
 
2687
 
 
2688
#: ../../src/ed_menu.py:559 ../../src/syntax/syntax.py:314
 
2689
#, python-format
 
2690
msgid "Switch Lexer to %s"
 
2691
msgstr ""
 
2692
 
 
2693
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:64
 
2694
msgid "Synch tree with tab selection"
 
2695
msgstr ""
 
2696
 
 
2697
#: ../../src/ed_menu.py:872 ../../src/prefdlg.py:715 ../../src/prefdlg.py:1125
 
2698
msgid "Syntax Highlighting"
 
2699
msgstr ""
 
2700
 
 
2701
#: ../../src/plugdlg.py:756
 
2702
msgid "System Directory"
 
2703
msgstr ""
 
2704
 
 
2705
#: ../../src/prefdlg.py:762
 
2706
msgid "Tab Width"
 
2707
msgstr ""
 
2708
 
 
2709
#: ../../src/ed_menu.py:832
 
2710
msgid "Tabs to Spaces"
 
2711
msgstr ""
 
2712
 
 
2713
#: ../../plugins/codebrowser/codebrowser/cbrowser.py:57
 
2714
msgid "Task Definitions"
 
2715
msgstr ""
 
2716
 
 
2717
#: ../../plugins/Launch/launch/cfgdlg.py:385
 
2718
msgid "Text Colors"
 
2719
msgstr ""
 
2720
 
 
2721
#: ../../src/util.py:378
 
2722
msgid "Text Document"
 
2723
msgstr ""
 
2724
 
 
2725
#: ../../src/ed_pages.py:160
 
2726
#, python-format
 
2727
msgid ""
 
2728
"The correct encoding of '%s' could not be determined.\n"
 
2729
"\n"
 
2730
"Choose an encoding and select Ok to open the file with the chosen encoding.\n"
 
2731
"Click Cancel to abort opening the file"
 
2732
msgstr ""
 
2733
 
 
2734
#: ../../src/ed_editv.py:615
 
2735
#, python-format
 
2736
msgid ""
 
2737
"The file: \"%s\" has been modified since the last save point.\n"
 
2738
"\n"
 
2739
"Would you like to save the changes?"
 
2740
msgstr ""
 
2741
 
 
2742
#: ../../src/style_editor.py:144
 
2743
#, python-format
 
2744
msgid "The new style sheet '%s' has not been saved would you like to save before exiting?"
 
2745
msgstr ""
 
2746
 
 
2747
#: ../../src/style_editor.py:403
 
2748
#, python-format
 
2749
msgid ""
 
2750
"The new style theme '%s' has not been saved.\n"
 
2751
"\n"
 
2752
"Would you like to save it before changing themes?"
 
2753
msgstr ""
 
2754
 
 
2755
#: ../../plugins/Launch/launch/launch.py:680
 
2756
msgid "The requested command could not be executed."
 
2757
msgstr ""
 
2758
 
 
2759
#: ../../src/ed_search.py:370
 
2760
#, python-format
 
2761
msgid "The search term '%(term)s' was found %(count)d times."
 
2762
msgstr ""
 
2763
 
 
2764
#: ../../src/style_editor.py:371
 
2765
#, python-format
 
2766
msgid "The style %s already exists. Please choose a different name."
 
2767
msgstr ""
 
2768
 
 
2769
#: ../../src/ed_pages.py:977
 
2770
#, python-format
 
2771
msgid "There are no files that Editra can open in %s"
 
2772
msgstr ""
 
2773
 
 
2774
#: ../../src/ed_print.py:137
 
2775
msgid ""
 
2776
"There was an error when printing.\n"
 
2777
"Check that your printer is properly connected."
 
2778
msgstr ""
 
2779
 
 
2780
#: ../../src/plugdlg.py:402
 
2781
msgid "This plugin requires a newer version of Editra."
 
2782
msgstr ""
 
2783
 
 
2784
#: ../../src/plugdlg.py:1053
 
2785
msgid "This plugin will be uninstalled on next program launch."
 
2786
msgstr ""
 
2787
 
 
2788
#: ../../src/ed_editv.py:470
 
2789
msgid "To Lowercase"
 
2790
msgstr ""
 
2791
 
 
2792
#: ../../src/ed_editv.py:469
 
2793
msgid "To Uppercase"
 
2794
msgstr ""
 
2795
 
 
2796
#: ../../src/plugdlg.py:741
 
2797
msgid ""
 
2798
"To add a new item drag and drop the plugin file into the list.\n"
 
2799
"\n"
 
2800
"To remove an item select it and hit Delete or Backspace."
 
2801
msgstr ""
 
2802
 
 
2803
#: ../../src/ed_menu.py:866
 
2804
msgid "Toggle Auto-Indentation functionality"
 
2805
msgstr ""
 
2806
 
 
2807
#: ../../src/ed_menu.py:701
 
2808
msgid "Toggle Bookmark"
 
2809
msgstr ""
 
2810
 
 
2811
#: ../../src/ed_menu.py:871
 
2812
msgid "Toggle Code Folding"
 
2813
msgstr ""
 
2814
 
 
2815
#: ../../src/ed_menu.py:807
 
2816
msgid "Toggle Comment"
 
2817
msgstr ""
 
2818
 
 
2819
#: ../../src/ed_menu.py:772
 
2820
msgid "Toggle Editor Maximization"
 
2821
msgstr ""
 
2822
 
 
2823
#: ../../src/ed_menu.py:759
 
2824
msgid "Toggle Editor View Options"
 
2825
msgstr ""
 
2826
 
 
2827
#: ../../src/ed_menu.py:763 ../../src/ed_menu.py:764
 
2828
msgid "Toggle all folds"
 
2829
msgstr ""
 
2830
 
 
2831
#: ../../src/ed_menu.py:702
 
2832
msgid "Toggle bookmark of the current line"
 
2833
msgstr ""
 
2834
 
 
2835
#: ../../src/ed_menu.py:808
 
2836
msgid "Toggle comment on the selected line(s)"
 
2837
msgstr ""
 
2838
 
 
2839
#: ../../src/ed_menu.py:762
 
2840
msgid "Toggle current fold"
 
2841
msgstr ""
 
2842
 
 
2843
#: ../../src/ed_menu.py:761
 
2844
msgid "Toggle fold"
 
2845
msgstr ""
 
2846
 
 
2847
#: ../../src/prefdlg.py:1227
 
2848
msgid "Toolbar Icon Size"
 
2849
msgstr ""
 
2850
 
 
2851
#: ../../plugins/Launch/launch/cfgdlg.py:208
 
2852
msgid "Transient XML Handler"
 
2853
msgstr ""
 
2854
 
 
2855
#: ../../src/ed_menu.py:923
 
2856
msgid "Translate Editra..."
 
2857
msgstr ""
 
2858
 
 
2859
#: ../../src/prefdlg.py:1280
 
2860
msgid "Transparency"
 
2861
msgstr ""
 
2862
 
 
2863
#: ../../src/ed_menu.py:691
 
2864
msgid "Transpose Line"
 
2865
msgstr ""
 
2866
 
 
2867
#: ../../src/ed_menu.py:692
 
2868
msgid "Transpose the current line with the previous one"
 
2869
msgstr ""
 
2870
 
 
2871
#: ../../src/ed_menu.py:834
 
2872
msgid "Trim Trailing Whitespace"
 
2873
msgstr ""
 
2874
 
 
2875
#: ../../src/prefdlg.py:811
 
2876
msgid "Turn off for better performance"
 
2877
msgstr ""
 
2878
 
 
2879
#: ../../src/util.py:195
 
2880
msgid "Unable to accept dropped file or text"
 
2881
msgstr ""
 
2882
 
 
2883
#: ../../src/eclib/filemgrdlg.py:174
 
2884
#, python-format
 
2885
msgid "Unable to delete %s"
 
2886
msgstr ""
 
2887
 
 
2888
#: ../../src/plugdlg.py:497
 
2889
msgid "Unable to retrieve plugin list"
 
2890
msgstr ""
 
2891
 
 
2892
#: ../../src/ed_editv.py:462 ../../src/ed_toolbar.py:83
 
2893
msgid "Undo"
 
2894
msgstr ""
 
2895
 
 
2896
#: ../../src/ed_menu.py:655 ../../src/ed_toolbar.py:83
 
2897
msgid "Undo Last Action"
 
2898
msgstr ""
 
2899
 
 
2900
#: ../../src/ed_menu.py:813
 
2901
msgid "Unindent Lines"
 
2902
msgstr ""
 
2903
 
 
2904
#: ../../src/ed_menu.py:814
 
2905
msgid "Unindent the selected lines"
 
2906
msgstr ""
 
2907
 
 
2908
#: ../../src/plugdlg.py:937
 
2909
msgid "Uninstall"
 
2910
msgstr ""
 
2911
 
 
2912
#: ../../src/plugdlg.py:1049
 
2913
msgid "Uninstall Plugin"
 
2914
msgstr ""
 
2915
 
 
2916
#: ../../src/ed_glob.py:397 ../../src/ed_mdlg.py:137 ../../src/ed_menu.py:844
 
2917
#: ../../src/ed_menu.py:846 ../../src/prefdlg.py:791
 
2918
msgid "Unix (\\n)"
 
2919
msgstr ""
 
2920
 
 
2921
#: ../../src/plugdlg.py:359 ../../src/plugdlg.py:367 ../../src/plugdlg.py:413
 
2922
#: ../../src/plugdlg.py:417 ../../src/plugin.py:709 ../../src/util.py:384
 
2923
#: ../../src/eclib/infodlg.py:298
 
2924
msgid "Unknown"
 
2925
msgstr ""
 
2926
 
 
2927
#: ../../src/eclib/finddlg.py:824
 
2928
msgid "Up"
 
2929
msgstr ""
 
2930
 
 
2931
#: ../../src/prefdlg.py:1390
 
2932
msgid "Update"
 
2933
msgstr ""
 
2934
 
 
2935
#: ../../src/Editra.py:645
 
2936
msgid "Update Available"
 
2937
msgstr ""
 
2938
 
 
2939
#: ../../src/ed_menu.py:816
 
2940
msgid "Uppercase"
 
2941
msgstr ""
 
2942
 
 
2943
#: ../../src/ed_menu.py:864
 
2944
msgid "Use Auto Completion when available"
 
2945
msgstr ""
 
2946
 
 
2947
#: ../../src/prefdlg.py:1428
 
2948
msgid "Use Proxy"
 
2949
msgstr ""
 
2950
 
 
2951
#: ../../src/ed_menu.py:821
 
2952
msgid "Use Soft Tabs"
 
2953
msgstr ""
 
2954
 
 
2955
#: ../../src/prefdlg.py:781
 
2956
msgid "Use Tabs Instead of Spaces"
 
2957
msgstr ""
 
2958
 
 
2959
#: ../../src/prefdlg.py:554
 
2960
msgid "Used to set a custom backup path. If not specified the backup will be put in the same directory as the file."
 
2961
msgstr ""
 
2962
 
 
2963
#: ../../src/plugdlg.py:752
 
2964
msgid "User Directory"
 
2965
msgstr ""
 
2966
 
 
2967
#: ../../src/prefdlg.py:1445
 
2968
msgid "Username"
 
2969
msgstr ""
 
2970
 
 
2971
#: ../../plugins/filebrowser/filebrowser/fbcfg.py:66
 
2972
#, fuzzy
 
2973
msgid "View"
 
2974
msgstr "&ნახვა"
 
2975
 
 
2976
#: ../../src/ed_log.py:81
 
2977
msgid "View Editra's console log"
 
2978
msgstr ""
 
2979
 
 
2980
#: ../../src/ed_menu.py:785
 
2981
msgid "View Line of Next Bookmark"
 
2982
msgstr ""
 
2983
 
 
2984
#: ../../src/ed_menu.py:787
 
2985
msgid "View Line of Previous Bookmark"
 
2986
msgstr ""
 
2987
 
 
2988
#: ../../src/prefdlg.py:849
 
2989
msgid "View Options"
 
2990
msgstr ""
 
2991
 
 
2992
#: ../../src/prefdlg.py:813
 
2993
msgid "View Virtual Space After Last Line"
 
2994
msgstr ""
 
2995
 
 
2996
#: ../../src/ed_bookmark.py:80
 
2997
msgid "View all bookmarks"
 
2998
msgstr ""
 
2999
 
 
3000
#: ../../src/ed_menu.py:770
 
3001
msgid "View pane selection list"
 
3002
msgstr ""
 
3003
 
 
3004
#: ../../src/ed_menu.py:919
 
3005
#, python-format
 
3006
msgid "Visit the project homepage %s"
 
3007
msgstr ""
 
3008
 
 
3009
#: ../../src/prefdlg.py:969
 
3010
msgid "Visual Helpers"
 
3011
msgstr ""
 
3012
 
 
3013
#: ../../src/prefdlg.py:523
 
3014
msgid "Warn when mixed eol characters are detected"
 
3015
msgstr ""
 
3016
 
 
3017
#: ../../plugins/Launch/launch/cfgdlg.py:448
 
3018
msgid "Warning Text"
 
3019
msgstr ""
 
3020
 
 
3021
#: ../../src/prefdlg.py:997
 
3022
msgid "Warning suggestions will include context insensitive results"
 
3023
msgstr ""
 
3024
 
 
3025
#: ../../src/eclib/infodlg.py:191
 
3026
msgid "Where"
 
3027
msgstr ""
 
3028
 
 
3029
#: ../../src/ed_main.py:713
 
3030
msgid "Where to Save Profile?"
 
3031
msgstr ""
 
3032
 
 
3033
#: ../../src/ed_menu.py:836
 
3034
msgid "Whitespace"
 
3035
msgstr ""
 
3036
 
 
3037
#: ../../src/ed_menu.py:837
 
3038
msgid "Whitespace formating commands"
 
3039
msgstr ""
 
3040
 
 
3041
#: ../../src/ed_cmdbar.py:322
 
3042
msgid "Whole Word"
 
3043
msgstr ""
 
3044
 
 
3045
#: ../../src/eclib/finddlg.py:835
 
3046
msgid "Whole word"
 
3047
msgstr ""
 
3048
 
 
3049
#: ../../src/eclib/finddlg.py:843
 
3050
msgid "Wildcard shell patterns for matching files (*.txt *.html)."
 
3051
msgstr ""
 
3052
 
 
3053
#: ../../src/ed_glob.py:398 ../../src/ed_mdlg.py:138 ../../src/ed_menu.py:847
 
3054
#: ../../src/ed_menu.py:849 ../../src/prefdlg.py:792
 
3055
msgid "Windows (\\r\\n)"
 
3056
msgstr ""
 
3057
 
 
3058
#: ../../src/ed_menu.py:709
 
3059
msgid "Word Completion"
 
3060
msgstr ""
 
3061
 
 
3062
#: ../../src/ed_menu.py:824 ../../src/prefdlg.py:809
 
3063
msgid "Word Wrap"
 
3064
msgstr ""
 
3065
 
 
3066
#: ../../src/style_editor.py:398
 
3067
#, python-format
 
3068
msgid ""
 
3069
"Would you like to save the changes to '%s' before changing themes?\n"
 
3070
"\n"
 
3071
"Selecting No will result in all changes being lost."
 
3072
msgstr ""
 
3073
 
 
3074
#: ../../src/ed_menu.py:825
 
3075
msgid "Wrap Text Horizontally"
 
3076
msgstr ""
 
3077
 
 
3078
#: ../../plugins/Launch/launch/cfgdlg.py:399
 
3079
msgid "Wrap lines in output buffer"
 
3080
msgstr ""
 
3081
 
 
3082
#: ../../src/ed_main.py:1563
 
3083
#, python-format
 
3084
msgid "Written in 100%% Python."
 
3085
msgstr ""
 
3086
 
 
3087
#: ../../src/plugdlg.py:193
 
3088
msgid "You must restart Editra before your changes will take full affect."
 
3089
msgstr ""
 
3090
 
 
3091
#: ../../src/Editra.py:953 ../../src/Editra.py:1163
 
3092
msgid "Your profile has been updated to the latest version"
 
3093
msgstr ""
 
3094
 
 
3095
#: ../../src/ed_menu.py:741 ../../src/ed_menu.py:742
 
3096
msgid "Zoom Default"
 
3097
msgstr ""
 
3098
 
 
3099
#: ../../src/ed_menu.py:740
 
3100
msgid "Zoom In"
 
3101
msgstr ""
 
3102
 
 
3103
#: ../../src/ed_menu.py:739
 
3104
msgid "Zoom Out"
 
3105
msgstr ""
 
3106
 
 
3107
#: ../../plugins/Launch/launch/launch.py:169
 
3108
msgid "args"
 
3109
msgstr ""
 
3110
 
 
3111
#: ../../src/style_editor.py:769
 
3112
msgid "bold"
 
3113
msgstr ""
 
3114
 
 
3115
#: ../../src/ed_cmdbar.py:912
 
3116
msgid "cwd: "
 
3117
msgstr ""
 
3118
 
 
3119
#: ../../src/style_editor.py:770
 
3120
msgid "eol"
 
3121
msgstr ""
 
3122
 
 
3123
#: ../../plugins/Launch/launch/launch.py:153
 
3124
msgid "exec"
 
3125
msgstr ""
 
3126
 
 
3127
#: ../../src/style_editor.py:771
 
3128
msgid "italic"
 
3129
msgstr ""
 
3130
 
 
3131
#: ../../src/style_editor.py:772
 
3132
msgid "underline"
 
3133
msgstr ""
 
3134
 
 
3135
#: ../../src/ed_pages.py:236
 
3136
msgid "untitled"
 
3137
msgstr ""
 
3138
 
 
3139
#: ../../src/ed_pages.py:234
 
3140
#, python-format
 
3141
msgid "untitled %d"
 
3142
msgstr ""