~ubuntu-branches/ubuntu/karmic/scilab/karmic

« back to all changes in this revision

Viewing changes to man/scicos/check_io.man

  • Committer: Bazaar Package Importer
  • Author(s): Torsten Werner
  • Date: 2002-03-21 16:57:43 UTC
  • Revision ID: james.westby@ubuntu.com-20020321165743-e9mv12c1tb1plztg
Tags: upstream-2.6
ImportĀ upstreamĀ versionĀ 2.6

Show diffs side-by-side

added added

removed removed

Lines of Context:
 
1
.TH check_io   "Janvier 1997" "Scilab Group" "Scicos function"
 
2
.so ../sci.an
 
3
.SH NAME
 
4
check_io - Checks and sets Scicos block port numbers.
 
5
.SH CALLING SEQUENCE
 
6
.nf
 
7
[model,graphics,ok]=check_io(model,graphics,in,out,clkin,clkout)
 
8
.fi
 
9
.SH PARAMETERS
 
10
.TP 10
 
11
model
 
12
: Scicos model data structure (see scicos_model)
 
13
.TP 
 
14
graphics
 
15
: Scicos graphics data structure (see scicos_graphics)
 
16
.TP 
 
17
in
 
18
: vector of regular input port sizes. Set \fVin=[]\fR in no regular
 
19
input port
 
20
.TP 
 
21
out
 
22
: vector of regular output port sizes. Set \fVout=[]\fR in no regular
 
23
output port
 
24
.TP 
 
25
clkin
 
26
: vector of event input port sizes. Set \fVclkin=[]\fR in no event
 
27
input port
 
28
.TP 
 
29
clkout
 
30
: vector of event output port sizes. Set \fVclkout=[]\fR in no event
 
31
output port
 
32
.TP
 
33
ok
 
34
: boolean, \fV%t\fR if specified input/output have been correctly set.
 
35
\fV%f\fR if specified input/output cannot be taken into account.
 
36
 
 
37
.SH DESCRIPTION
 
38
This function is to be called when number of regular or event input or
 
39
output ports is modified. It check if it is possible (connected ports
 
40
cannot suppressed) and updates \fV model\fR and \fV graphics\fR block
 
41
substructures. \fV in\fR,\fV out\fR,\fV clkin\fR,\fV clkout\fR are
 
42
the vectors of port sizes for respectively the regular inputs, regular
 
43
outputs, event inputs and event outputs.
 
44
.LP
 
45
Negative values in \fV in\fR or \fV out\fR vector means that
 
46
corresponding ports dimension are to be determined by the context. Two
 
47
equal negative values means that corresponding ports have same size.
 
48
 
 
49
.SH SEE ALSO 
 
50
scicos_block, scicos_model, scicos_graphics 
 
51